Sergi Abadal

Orcid: 0000-0003-0941-0260

According to our database1, Sergi Abadal authored at least 120 papers between 2011 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Perpetual Reconfigurable Intelligent Surfaces Through In-Band Energy Harvesting: Architectures, Protocols, and Challenges.
IEEE Veh. Technol. Mag., March, 2024

Circuit Partitioning for Multi-Core Quantum Architectures with Deep Reinforcement Learning.
CoRR, 2024

2023
Time- and Unit-Cell Splitting Comparison for the Autonomous Operation of Reconfigurable Intelligent Surfaces.
IEEE Trans. Green Commun. Netw., September, 2023

Graphene-Based Wireless Agile Interconnects for Massive Heterogeneous Multi-Chip Processors.
IEEE Wirel. Commun., August, 2023

Workload Characterization and Traffic Analysis for Reconfigurable Intelligent Surfaces Within 6G Wireless Systems.
IEEE Trans. Mob. Comput., May, 2023

Intelligent Beam Steering for Wireless Communication Using Programmable Metasurfaces.
IEEE Trans. Intell. Transp. Syst., May, 2023

Wireless Energy Harvesting for Autonomous Reconfigurable Intelligent Surfaces.
IEEE Trans. Green Commun. Netw., March, 2023

WHYPE: A Scale-Out Architecture With Wireless Over-the-Air Majority for Scalable In-Memory Hyperdimensional Computing.
IEEE J. Emerg. Sel. Topics Circuits Syst., March, 2023

Characterizing the Inter-Core Qubit Traffic in Large-Scale Quantum Modular Architectures.
CoRR, 2023

Graph Neural Network-enabled Terahertz-based Flow-guided Nanoscale Localization.
CoRR, 2023

Insights from the Design Space Exploration of Flow-Guided Nanoscale Localization.
CoRR, 2023

Toward Standardized Performance Evaluation of Flow-guided Nanoscale Localization.
CoRR, 2023

Mapping Quantum Circuits to Modular Architectures with QUBO.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2023

Exploration of Time Reversal for Wireless Communications within Computing Packages.
Proceedings of the 10th ACM International Conference on Nanoscale Computing and Communication, 2023

Real-time Generation of 3-Dimensional Representations of Static Objects using Small Unmanned Aerial Vehicles.
Proceedings of the 29th Annual International Conference on Mobile Computing and Networking, 2023

Interconnect Fabrics for Multi-Core Quantum Processors: A Context Analysis.
Proceedings of the 16th International Workshop on Network on Chip Architectures, 2023

Mapping quantum algorithms to multi-core quantum computing architectures.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Multi-channel Medium Access Control Protocols for Wireless Networks within Computing Packages.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Scalable multi-chip quantum architectures enabled by cryogenic hybrid wireless/quantum-coherent network-in-package.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Collective Communication Patterns Using Time-Reversal Terahertz Links at the Chip Scale.
Proceedings of the IEEE Global Communications Conference, 2023

System-Level Exploration of In-Package Wireless Communication for Multi-Chiplet Platforms.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
Multiwideband Terahertz Communications Via Tunable Graphene-Based Metasurfaces in 6G Networks: Graphene Enables Ultimate Multiwideband THz Wavefront Control.
IEEE Veh. Technol. Mag., 2022

Interconnects for DNA, Quantum, In-Memory, and Optical Computing: Insights From a Panel Discussion.
IEEE Micro, 2022

Computing Graph Neural Networks: A Survey from Algorithms to Accelerators.
ACM Comput. Surv., 2022

Integrated Graphene Patch Antenna for Communications at THz Frequencies.
CoRR, 2022

Characterizing Qubit Traffic of a Quantum Intranet aiming at Modular Quantum Computers.
CoRR, 2022

ProGNNosis: A Data-driven Model to Predict GNN Computation Time Using Graph Metrics.
CoRR, 2022

Bias Reduction via Cooperative Bargaining in Synthetic Graph Dataset Generation.
CoRR, 2022

Autonomous Reconfigurable Intelligent Surfaces Through Wireless Energy Harvesting.
Proceedings of the 95th IEEE Vehicular Technology Conference, 2022

Towards spatial multiplexing in wireless networks within computing packages.
Proceedings of the NANOCOM '22: The Ninth Annual ACM International Conference on Nanoscale Computing and Communication, Barcelona, Catalunya, Spain, October 5, 2022

Characterizing the spatio-temporal qubit traffic of a quantum intranet aiming at modular quantum computer architectures.
Proceedings of the NANOCOM '22: The Ninth Annual ACM International Conference on Nanoscale Computing and Communication, Barcelona, Catalunya, Spain, October 5, 2022

Toward location-aware in-body terahertz nanonetworks with energy harvesting.
Proceedings of the NANOCOM '22: The Ninth Annual ACM International Conference on Nanoscale Computing and Communication, Barcelona, Catalunya, Spain, October 5, 2022

Understanding the Design-Space of Sparse/Dense Multiphase GNN dataflows on Spatial Accelerators.
Proceedings of the 2022 IEEE International Parallel and Distributed Processing Symposium, 2022

Wireless On-Chip Communications for Scalable In-memory Hyperdimensional Computing.
Proceedings of the International Joint Conference on Neural Networks, 2022

Time vs. Unit Cell Splitting for Autonomous Reconfigurable Intelligent Surfaces.
Proceedings of the IEEE Global Communications Conference, 2022

Scale up your In-Memory Accelerator: Leveraging Wireless-on-Chip Communication for AIMC-based CNN Inference.
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022

2021
Radiation Pattern Prediction for Metasurfaces: A Neural Network-Based Approach.
Sensors, 2021

Localization in power-constrained Terahertz-operating software-defined metamaterials.
Nano Commun. Networks, 2021

On Double Full-Stack Communication-Enabled Architectures for Multicore Quantum Computers.
IEEE Micro, 2021

Survey on Terahertz Nanocommunication and Networking: A Top-Down Perspective.
IEEE J. Sel. Areas Commun., 2021

Smart On-Chip Electromagnetic Environment.
CoRR, 2021

Toward Autonomous Reconfigurable Intelligent Surfaces Through Wireless Energy Harvesting.
CoRR, 2021

A Taxonomy for Classification and Comparison of Dataflows for GNN Accelerators.
CoRR, 2021

Toward Location-aware In-body Terahertz Nanonetworks with Energy Harvesting.
CoRR, 2021

Nanorouter Awareness in Flow-Guided Nanocommunication Networks.
Proceedings of the 17th International Conference on Wireless and Mobile Computing, 2021

On the Use of Programmable Metasurfaces in Vehicular Networks.
Proceedings of the 22nd IEEE International Workshop on Signal Processing Advances in Wireless Communications, 2021

On-Demand SIMO Channel Impulse Response Shaping in Smart On-Chip Electromagnetic Environments.
Proceedings of the SenSys '21: The 19th ACM Conference on Embedded Networked Sensor Systems, Coimbra, Portugal, November 15, 2021

One Protocol to Rule Them All: Wireless Network-on-Chip using Deep Reinforcement Learning.
Proceedings of the 18th USENIX Symposium on Networked Systems Design and Implementation, 2021

Modelling Short-range Quantum Teleportation for Scalable Multi-Core Quantum Computing Architectures.
Proceedings of the NANOCOM '21: The Eighth Annual ACM International Conference on Nanoscale Computing and Communication, Virtual Event, Italy, September 7, 2021

Characterizing the Communication Requirements of GNN Accelerators: A Model-Based Approach.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

WiDir: A Wireless-Enabled Directory Cache Coherence Protocol.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021

Fuzzy-Token: An Adaptive MAC Protocol for Wireless-Enabled Manycores.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Scaling of multi-core quantum architectures: a communications-aware structured gap analysis.
Proceedings of the CF '21: Computing Frontiers Conference, 2021

Architecting more than Moore: wireless plasticity for massive heterogeneous computer architectures (WiPLASH).
Proceedings of the CF '21: Computing Frontiers Conference, 2021

Dataflow-Architecture Co-Design for 2.5D DNN Accelerators using Wireless Network-on-Package.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
Engineer the Channel and Adapt to it: Enabling Wireless Intra-Chip Communication.
IEEE Trans. Commun., 2020

Towards fault adaptive routing in metasurface controller networks.
J. Syst. Archit., 2020

Immersive Interconnected Virtual and Augmented Reality: A 5G and IoT Perspective.
J. Netw. Syst. Manag., 2020

Error Analysis of Programmable Metasurfaces for Beam Steering.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2020

Programmable Metamaterials for Software-Defined Electromagnetic Control: Circuits, Systems, and Architectures.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2020

Guest Editorial: Programmable Metamaterials for Software-Defined Electromagnetic Control.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2020

Digital Metasurface Based on Graphene: An Application to Beam Steering in Terahertz Plasmonic Antennas.
CoRR, 2020

Graphene-based Wireless Agile Interconnects for Massive Heterogeneous Multi-chip Processors.
CoRR, 2020

Localization in Terahertz-Operating Energy Harvesting Software-Defined Metamaterials.
CoRR, 2020

Exploring a Double Full-Stack Communications-Enabled Architecture for Multi-Core Quantum Computers.
CoRR, 2020

Scalability Analysis of Programmable Metasurfaces for Beam Steering.
IEEE Access, 2020

Wave Propagation and Channel Modeling in Chip-Scale Wireless Communications: A Survey From Millimeter-Wave to Terahertz and Optics.
IEEE Access, 2020

Millimeter wave wireless network on chip using deep reinforcement learning.
Proceedings of the SIGCOMM '20: ACM SIGCOMM 2020 Conference, 2020

Toward Dynamically Adapting Wireless Intra-Chip Channels to Traffic Needs with a Programmable Metasurface.
Proceedings of the NanoCoCoA@SenSys '20: Proceedings of the 1st ACM International Workshop on Nanoscale Computing, 2020

Toward localization in terahertz-operating energy harvesting software-defined metamaterials: context analysis.
Proceedings of the NANOCOM '20: The Seventh Annual ACM International Conference on Nanoscale Computing and Communication, 2020

Extremum Seeking Control for Beam Steering using Hypersurfaces.
Proceedings of the 2020 IEEE International Conference on Communications Workshops, 2020

Will Quantum Computers Scale Without Inter-Chip Comms? A Structured Design Exploration to the Monolithic vs Distributed Architectures Quest.
Proceedings of the XXXV Conference on Design of Circuits and Integrated Systems, 2020

2019
Exploration of Intercell Wireless Millimeter-Wave Communication in the Landscape of Intelligent Metasurfaces.
IEEE Access, 2019

Workload Characterization of Programmable Metasurfaces.
Proceedings of the Sixth Annual ACM International Conference on Nanoscale Computing and Communication, 2019

ABSense: Sensing Electromagnetic Waves on Metasurfaces via Ambient Compilation of Full Absorption.
Proceedings of the Sixth Annual ACM International Conference on Nanoscale Computing and Communication, 2019

Fault Tolerance in Programmable Metasurfaces: The Beam Steering Case.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Opportunistic Beamforming in Wireless Network-on-Chip.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Understanding the Impact of On-chip Communication on DNN Accelerator Performance.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

Replica: A Wireless Manycore for Communication-Intensive and Approximate Data.
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019

2018
OrthoNoC: A Broadcast-Oriented Dual-Plane Wireless Network-on-Chip Architecture.
IEEE Trans. Parallel Distributed Syst., 2018

Modeling the EM Field Distribution within a Computer Chip Package.
CoRR, 2018

Terahertz Dielectric Resonator Antenna Coupled to Graphene Plasmonic Dipole.
CoRR, 2018

Medium Access Control in Wireless Network-on-Chip: A Context Analysis.
IEEE Commun. Mag., 2018

MAC-oriented programmable terahertz PHY via graphene-based Yagi-Uda antennas.
Proceedings of the 2018 IEEE Wireless Communications and Networking Conference, 2018

Channel Characterization for Chip-scale Wireless Communications within Computing Packages.
Proceedings of the Twelfth IEEE/ACM International Symposium on Networks-on-Chip, 2018

Architecting a Secure Wireless Network-on-Chip.
Proceedings of the Twelfth IEEE/ACM International Symposium on Networks-on-Chip, 2018

A General, Fault tolerant, Adaptive, Deadlock-free Routing Protocol for Network-on-chip.
Proceedings of the 11th International Workshop on Network on Chip Architectures, 2018

Fault Adaptive Routing in Metasurface Controller Networks.
Proceedings of the 11th International Workshop on Network on Chip Architectures, 2018

Millimeter-Wave Propagation within a Computer Chip Package.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018



Spoofing Prevention via RF Power Profiling in Wireless Network-on-Chip.
Proceedings of the 3rd International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems, 2018

Data Conversion in Area-Constrained Applications: the Wireless Network-on-Chip Case.
Proceedings of the Conference on Design of Circuits and Integrated Systems, 2018

2017
Study of hybrid and pure plasmonic terahertz antennas based on graphene guided-wave structures.
Nano Commun. Networks, 2017

Computing and Communications for the Software-Defined Metamaterial Paradigm: A Context Analysis.
IEEE Access, 2017

Graphene-Based terahertz antennas for area-constrained applications.
Proceedings of the 40th International Conference on Telecommunications and Signal Processing, 2017

2016
Broadcast-oriented wireless network-on-chip : fundamentals and feasibility.
PhD thesis, 2016

Scalability of Broadcast Performance in Wireless Network-on-Chip.
IEEE Trans. Parallel Distributed Syst., 2016

Pulse interspersing in static multipath chip environments for Impulse Radio communications.
Nano Commun. Networks, 2016

Characterization and modeling of multicast communication in cache-coherent manycore processors.
Comput. Electr. Eng., 2016

Surveying of Pure and Hybrid Plasmonic Structures Based on Graphene for Terahertz Antenna.
Proceedings of the 3rd ACM International Conference on Nanoscale Computing and Communication, 2016

A MAC protocol for Reliable Broadcast Communications in Wireless Network-on-Chip.
Proceedings of the 9th International Workshop on Network on Chip Architectures, 2016

WiSync: An Architecture for Fast Synchronization through On-Chip Wireless Communication.
Proceedings of the Twenty-First International Conference on Architectural Support for Programming Languages and Operating Systems, 2016

2015
On the Area and Energy Scalability of Wireless Network-on-Chip: A Model-Based Benchmarked Design Space Exploration.
IEEE/ACM Trans. Netw., 2015

Time-Domain Analysis of Graphene-Based Miniaturized Antennas for Ultra-Short-Range Impulse Radio Communications.
IEEE Trans. Commun., 2015

Broadcast-Enabled Massive Multicore Architectures: A Wireless RF Approach.
IEEE Micro, 2015

Multicast On-chip Traffic Analysis Targeting Manycore NoC Design.
Proceedings of the 23rd Euromicro International Conference on Parallel, 2015

Networking Challenges and Prospective Impact of Broadcast-Oriented Wireless Networks-on-Chip.
Proceedings of the 9th International Symposium on Networks-on-Chip, 2015

Scalability of Network Capacity in Nanonetworks Powered by Energy Harvesting.
Proceedings of the Second Annual International Conference on Nanoscale Computing and Communication, 2015

A Vertical Methodology for the Design Space Exploration of Graphene-enabled Wireless Communications.
Proceedings of the Second Annual International Conference on Nanoscale Computing and Communication, 2015

2014
Cooperative signal amplification for molecular communication in nanonetworks.
Wirel. Networks, 2014

Scalability-oriented multicast traffic characterization.
Proceedings of the Eighth IEEE/ACM International Symposium on Networks-on-Chip, 2014

Initial MAC Exploration for Graphene-enabled Wireless Networks-on-Chip.
Proceedings of ACM The First Annual International Conference on Nanoscale Computing and Communication, 2014

Evaluating the Feasibility of Wireless Networks-on-Chip Enabled by Graphene.
Proceedings of the 2014 International Workshop on Network on Chip Architectures, 2014

2013
Graphene-enabled wireless communication for massive multicore architectures.
IEEE Commun. Mag., 2013

Area and laser power scalability analysis in photonic networks-on-chip.
Proceedings of the 17th International Conference on Optical Networking Design and Modeling, 2013

Graphene-enabled Wireless Networks-on-Chip.
Proceedings of the First International Black Sea Conference on Communications and Networking, 2013

2012
Quorum Sensing-enabled amplification for molecular nanonetworks.
Proceedings of IEEE International Conference on Communications, 2012

2011
Automata modeling of Quorum Sensing for nanocommunication networks.
Nano Commun. Networks, 2011

Bio-Inspired Synchronization for Nanocommunication Networks.
Proceedings of the Global Communications Conference, 2011


  Loading...