Daniele Ielmini

Orcid: 0000-0002-1853-1614

According to our database1, Daniele Ielmini authored at least 69 papers between 2003 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
In-Memory Principal Component Analysis by Analogue Closed-Loop Eigendecomposition.
IEEE Trans. Circuits Syst. II Express Briefs, April, 2024

Binary-Stochasticity-Enabled Highly Efficient Neuromorphic Deep Learning Achieves Better-than-Software Accuracy.
Adv. Intell. Syst., January, 2024

2023
Tunable synaptic working memory with volatile memristive devices.
Neuromorph. Comput. Eng., December, 2023

A Survey on Design Methodologies for Accelerating Deep Learning on Heterogeneous Architectures.
CoRR, 2023

A Survey on Deep Learning Hardware Accelerators for Heterogeneous HPC Platforms.
CoRR, 2023

Binary stochasticity enabled highly efficient neuromorphic deep learning achieves better-than-software accuracy.
CoRR, 2023

Closed-Loop In-Memory Computing for Energy-Efficient Matrix Eigendecomposition.
Proceedings of the IEEE International Conference on Metrology for eXtended Reality, 2023

Accelerating massive MIMO in 6G communications by analog in-memory computing circuits.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Thermal-Induced Multi-State Memristors for Neuromorphic Engineering.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Unveiling Retention Physical Mechanism of Ge-rich GST ePCM Technology.
Proceedings of the IEEE International Reliability Physics Symposium, 2023

Enhancing reliability of a strong physical unclonable function (PUF) solution based on virgin-state phase change memory (PCM).
Proceedings of the IEEE International Reliability Physics Symposium, 2023

In-memory neural network accelerator based on phase change memory (PCM) with one-selector/one-resistor (1S1R) structure operated in the subthreshold regime.
Proceedings of the IEEE International Memory Workshop, 2023


2022
HfO<sub>2</sub>-based resistive switching memory devices for neuromorphic computing.
Neuromorph. Comput. Eng., December, 2022

Invited Tutorial: Analog Matrix Computing With Crosspoint Resistive Memory Arrays.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

2022 roadmap on neuromorphic computing and engineering.
Neuromorph. Comput. Eng., 2022

An Analogue In-Memory Ridge Regression Circuit With Application to Massive MIMO Acceleration.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2022

Tutorial: Analog Matrix Computing (AMC) with Crosspoint Resistive Memory Arrays.
CoRR, 2022

Forming-Free Resistive Switching Memory Crosspoint Arrays for In-Memory Machine Learning.
Adv. Intell. Syst., 2022

End-to-end modeling of variability-aware neural networks based on resistive-switching memory arrays.
Proceedings of the 30th IFIP/IEEE 30th International Conference on Very Large Scale Integration, 2022

Interaction between forming pulse and integration process flow in ePCM.
Proceedings of the 17th Conference on Ph.D Research in Microelectronics and Electronics, 2022

Experimental verification and benchmark of in-memory principal component analysis by crosspoint arrays of resistive switching memory.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A Hybrid Memristor/CMOS SNN for Implementing One-Shot Winner-Takes-All Training.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Mitigating read-program variation and IR drop by circuit architecture in RRAM-based neural network accelerators.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

Statistical model of program/verify algorithms in resistive-switching memories for in-memory neural network accelerators.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

Modeling Environment for Ge-rich GST Phase Change Memory Cells.
Proceedings of the IEEE International Memory Workshop, 2022

Decision Making by a Neuromorphic Network of Volatile Resistive Switching Memories.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

Characterization of reset state through energy activation study in Ge-GST based ePCM.
Proceedings of the 52nd IEEE European Solid-State Device Research Conference, 2022

Thermal switching of TiO<sub>2</sub>-based RRAM for parameter extraction and neuromorphic engineering.
Proceedings of the 48th IEEE European Solid State Circuits Conference, 2022

Low-current, highly linear synaptic memory device based on MoS<sup>2</sup> transistors for online training and inference.
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022

2021
Optimization Schemes for In-Memory Linear Regression Circuit With Memristor Arrays.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

A Universal, Analog, In-Memory Computing Primitive for Linear Algebra Using Memristors.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

2021 Roadmap on Neuromorphic Computing and Engineering.
CoRR, 2021

Neuromorphic Motion Detection and Orientation Selectivity by Volatile Resistive Switching Memories.
Adv. Intell. Syst., 2021

Conductance variations and their impact on the precision of in-memory computing with resistive switching memory (RRAM).
Proceedings of the IEEE International Reliability Physics Symposium, 2021

Optimized programming algorithms for multilevel RRAM in hardware neural networks.
Proceedings of the IEEE International Reliability Physics Symposium, 2021

Modeling of oxide-based ECRAM programming by drift-diffusion ion transport.
Proceedings of the IEEE International Memory Workshop, 2021


2020
Time complexity of in-memory solution of linear systems.
CoRR, 2020

One-step regression and classification with crosspoint resistive memory arrays.
CoRR, 2020

In-Memory Eigenvector Computation in Time O (1).
Adv. Intell. Syst., 2020

Brain-Inspired Structural Plasticity through Reweighting and Rewiring in Multi-Terminal Self-Organizing Memristive Nanowire Networks.
Adv. Intell. Syst., 2020

Device and Circuit Architectures for In-Memory Computing.
Adv. Intell. Syst., 2020

A Spiking Recurrent Neural Network with Phase Change Memory Synapses for Decision Making.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Hardware Implementation of PCM-Based Neurons with Self-Regulating Threshold for Homeostatic Scaling in Unsupervised Learning.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

A Bio-Inspired Recurrent Neural Network with Self-Adaptive Neurons and PCM Synapses for Solving Reinforcement Learning Tasks.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

In-memory PageRank using a Crosspoint Array of Resistive Switching Memory (RRAM) devices.
Proceedings of the 2nd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2020

2019
Self-organizing memristive nanowire networks with structural plasticity emulate biological neuronal circuits.
CoRR, 2019

Energy-efficient continual learning in hybrid supervised-unsupervised neural networks with PCM synapses.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019

A compact model of stochastic switching in STT magnetic RAM for memory and computing.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2019

Fast Solution of Linear Systems with Analog Resistive Switching Memory (RRAM).
Proceedings of the 2019 IEEE International Conference on Rebooting Computing, 2019

A Volatile RRAM Synapse for Neuromorphic Computing.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

Joule Heating in SiOx RRAM Device Studied by an Integrated Micro-Thermal Stage.
Proceedings of the 49th European Solid-State Device Research Conference, 2019

Low-energy inference machine with multilevel HfO2 RRAM arrays.
Proceedings of the 49th European Solid-State Device Research Conference, 2019

In-memory solution of linear systems with crosspoint arrays without iterations.
Proceedings of the Device Research Conference, 2019

Brain-Inspired Memristive Neural Networks for Unsupervised Learning.
Proceedings of the Handbook of Memristor Networks., 2019

2018
A 4-Transistors/1-Resistor Hybrid Synapse Based on Resistive Switching Memory (RRAM) Capable of Spike-Rate-Dependent Plasticity (SRDP).
IEEE Trans. Very Large Scale Integr. Syst., 2018

Stochastic Learning in Neuromorphic Hardware via Spike Timing Dependent Plasticity With RRAM Synapses.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2018

Resistive switching synapses for unsupervised learning in feed-forward and recurrent neural networks.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Brain-inspired recurrent neural network with plastic RRAM synapses.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

2016
Neuromorphic computing with hybrid memristive/CMOS synapses for real-time learning.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Bipolar-switching operated phase change memory (PCM) for improved high-temperature reliability.
Proceedings of the 46th European Solid-State Device Research Conference, 2016

2015
True Random Number Generation by Variability of Resistive Switching in Oxide-Based Devices.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2015

Understanding pulsed-cycling variability and endurance in HfOx RRAM.
Proceedings of the IEEE International Reliability Physics Symposium, 2015

Data retention statistics and modelling in HfO2 resistive switching memories.
Proceedings of the IEEE International Reliability Physics Symposium, 2015

2014
Statistical modeling of program and read variability in resistive switching devices.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

Set/reset statistics and kinetics in phase change memory arrays.
Proceedings of the 44th European Solid State Device Research Conference, 2014

Analytical modelling and leakage optimization in complementary resistive switch (CRS) crossbar arrays.
Proceedings of the 44th European Solid State Device Research Conference, 2014

2003
Impact of gate stack process on conduction and reliability of 0.18 mum PMOSFET.
Microelectron. Reliab., 2003


  Loading...