Alessio Burrello

Orcid: 0000-0002-6215-8220

According to our database1, Alessio Burrello authored at least 60 papers between 2018 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Dynamic Decision Tree Ensembles for Energy-Efficient Inference on IoT Edge Nodes.
IEEE Internet Things J., January, 2024

Performance evaluation of acceleration of convolutional layers on OpenEdgeCGRA.
CoRR, 2024

Optimized Deployment of Deep Neural Networks for Visual Pose Estimation on Nano-drones.
CoRR, 2024

HW-SW Optimization of DNNs for Privacy-preserving People Counting on Low-resolution Infrared Arrays.
CoRR, 2024

Adaptive Deep Learning for Efficient Visual Pose Estimation aboard Ultra-low-power Nano-drones.
CoRR, 2024

2023
Lightweight Neural Architecture Search for Temporal Convolutional Networks at the Edge.
IEEE Trans. Computers, March, 2023

Reducing the Energy Consumption of sEMG-Based Gesture Recognition at the Edge Using Transformers and Dynamic Inference.
Sensors, February, 2023

ExaMon-X: A Predictive Maintenance Framework for Automatic Monitoring in Industrial IoT Systems.
IEEE Internet Things J., February, 2023

Optimizing AI at the Edge: from network topology design to MCU deployment.
PhD thesis, 2023

A Survey on Design Methodologies for Accelerating Deep Learning on Heterogeneous Architectures.
CoRR, 2023

Enhancing Neural Architecture Search with Multiple Hardware Constraints for Deep Learning Model Deployment on Tiny IoT Devices.
CoRR, 2023


A 3 TOPS/W RISC-V Parallel Cluster for Inference of Fine-Grain Mixed-Precision Quantized Neural Networks.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2023

Precision-aware Latency and Energy Balancing on Multi-Accelerator Platforms for DNN Inference.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023

Deep Neural Network Architecture Search for Accurate Visual Pose Estimation aboard Nano-UAVs.
Proceedings of the IEEE International Conference on Robotics and Automation, 2023

PLiNIO: A User-Friendly Library of Gradient-Based Methods for Complexity-Aware DNN Optimization.
Proceedings of the Forum on Specification & Design Languages, 2023

Energy-efficient Wearable-to-Mobile Offload of ML Inference for PPG-based Heart-Rate Estimation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

HTVM: Efficient Neural Network Deployment On Heterogeneous TinyML Platforms.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Feature-Level Cross-Attentional PPG and Motion Signal Fusion for Heart Rate Estimation.
Proceedings of the 47th IEEE Annual Computers, Software, and Applications Conference, 2023

WIP: Automatic DNN Deployment on Heterogeneous Platforms: the GAP9 Case Study.
Proceedings of the International Conference on Compilers, 2023

2022
Human Activity Recognition on Microcontrollers with Quantized and Adaptive Deep Neural Networks.
ACM Trans. Embed. Comput. Syst., 2022

Traffic Load Estimation from Structural Health Monitoring sensors using supervised learning.
Sustain. Comput. Informatics Syst., 2022

Fully Onboard AI-Powered Human-Drone Pose Estimation on Ultralow-Power Autonomous Flying Nano-UAVs.
IEEE Internet Things J., 2022

Exploring Scalable, Distributed Real-Time Anomaly Detection for Bridge Health Monitoring.
IEEE Internet Things J., 2022

Embedding Temporal Convolutional Networks for Energy-efficient PPG-based Heart Rate Monitoring.
ACM Trans. Comput. Heal., 2022

Multi-Head Cross-Attentional PPG and Motion Signal Fusion for Heart Rate Estimation.
CoRR, 2022

Multi-Complexity-Loss DNAS for Energy-Efficient and Memory-Constrained Deep Neural Networks.
Proceedings of the ISLPED '22: ACM/IEEE International Symposium on Low Power Electronics and Design, Boston, MA, USA, August 1, 2022

Channel-wise Mixed-precision Assignment for DNN Inference on Constrained Edge Nodes.
Proceedings of the 13th IEEE International Green and Sustainable Computing Conference, 2022

Bioformers: Embedding Transformers for Ultra-Low Power sEMG-based Gesture Recognition.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

EEGformer: Transformer-Based Epilepsy Detection on Raw EEG Traces for Low-Channel-Count Wearable Continuous Monitoring Devices.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2022

Improving PPG-based Heart-Rate Monitoring with Synthetically Generated Data.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2022

Adversarially-Trained Tiny Autoencoders for Near-Sensor Continuous Structural Health Monitoring.
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022

2021
An Ensemble of Hyperdimensional Classifiers: Hardware-Friendly Short-Latency Seizure Detection With Automatic iEEG Electrode Selection.
IEEE J. Biomed. Health Informatics, 2021

DORY: Automatic End-to-End Deployment of Real-World DNNs on Low-Cost IoT MCUs.
IEEE Trans. Computers, 2021

Q-PPG: Energy-Efficient PPG-Based Heart Rate Monitoring on Wearable Devices.
IEEE Trans. Biomed. Circuits Syst., 2021

Embedded Streaming Principal Components Analysis for Network Load Reduction in Structural Health Monitoring.
IEEE Internet Things J., 2021

Spiking Neural Network-Based Near-Sensor Computing for Damage Detection in Structural Health Monitoring.
Future Internet, 2021

Fully Onboard AI-powered Human-Drone Pose Estimation on Ultra-low Power Autonomous Flying Nano-UAVs.
CoRR, 2021

Low-Overhead Early-Stopping Policies for Efficient Random Forests Inference on Microcontrollers.
Proceedings of the VLSI-SoC: Technology Advancement on SoC Design, 2021

Adaptive Random Forests for Energy-Efficient Inference on Microcontrollers.
Proceedings of the 29th IFIP/IEEE International Conference on Very Large Scale Integration, 2021

Damage Detection in Structural Health Monitoring with Spiking Neural Networks.
Proceedings of the IEEE International Workshop on Metrology for Industry 4.0 & IoT, 2021

TCN Mapping Optimization for Ultra-Low Power Time-Series Edge Inference.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2021

Robust and Energy-Efficient PPG-Based Heart-Rate Monitoring.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Model-based vs. Data-driven Approaches for Anomaly Detection in Structural Health Monitoring: a Case Study.
Proceedings of the IEEE International Instrumentation and Measurement Technology Conference, 2021

Prediction of Thermal Hazards in a Real Datacenter Room Using Temporal Convolutional Networks.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Pruning In Time (PIT): A Lightweight Network Architecture Optimizer for Temporal Convolutional Networks.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

sEMG-based Regression of Hand Kinematics with Temporal Convolutional Networks on a Low-Power Edge Microcontroller.
Proceedings of the 2021 IEEE International Conference on Omni-Layer Intelligent Systems, 2021

A Microcontroller is All You Need: Enabling Transformer Execution on Low-Power IoT Endnodes.
Proceedings of the 2021 IEEE International Conference on Omni-Layer Intelligent Systems, 2021

Ultra-compact binary neural networks for human activity recognition on RISC-V processors.
Proceedings of the CF '21: Computing Frontiers Conference, 2021

ECG-TCN: Wearable Cardiac Arrhythmia Detection with a Temporal Convolutional Network.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021

2020
Hyperdimensional Computing With Local Binary Patterns: One-Shot Learning of Seizure Onset and Identification of Ictogenic Brain Regions Using Short-Time iEEG Recordings.
IEEE Trans. Biomed. Eng., 2020

Robust Real-Time Embedded EMG Recognition Framework Using Temporal Convolutional Networks on a Multicore IoT Processor.
IEEE Trans. Biomed. Circuits Syst., 2020

Energy-Efficient Adaptive Machine Learning on IoT End-Nodes With Class-Dependent Confidence.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

Enhancing Structural Health Monitoring with Vehicle Identification and Tracking.
Proceedings of the 2020 IEEE International Instrumentation and Measurement Technology Conference, 2020

Predicting Hard Disk Failures in Data Centers Using Temporal Convolutional Neural Networks.
Proceedings of the Euro-Par 2020: Parallel Processing Workshops, 2020

Temporal Variability Analysis in sEMG Hand Grasp Recognition using Temporal Convolutional Networks.
Proceedings of the 2nd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2020

2019
Laelaps: An Energy-Efficient Seizure Detection Algorithm from Long-term Human iEEG Recordings without False Alarms.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

DORY: Lightweight memory hierarchy management for deep NN inference on IoT endnodes: work-in-progress.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis Companion, 2019

Embedding principal component analysis for data reduction in structural health monitoring on low-cost IoT gateways.
Proceedings of the 16th ACM International Conference on Computing Frontiers, 2019

2018
One-shot Learning for iEEG Seizure Detection Using End-to-end Binary Operations: Local Binary Patterns with Hyperdimensional Computing.
Proceedings of the 2018 IEEE Biomedical Circuits and Systems Conference, 2018


  Loading...