Indranil Chakraborty

Orcid: 0000-0003-4829-3706

According to our database1, Indranil Chakraborty authored at least 46 papers between 2005 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Pruning for Improved ADC Efficiency in Crossbar-based Analog In-memory Accelerators.
CoRR, 2024

2023
Exploring Neuromorphic Computing Based on Spiking Neural Networks: Algorithms to Hardware.
ACM Comput. Surv., December, 2023

WWW: What, When, Where to Compute-in-Memory.
CoRR, 2023

A 65 nm 1.4-6.7 TOPS/W Adaptive-SNR Sparsity-Aware CIM Core with Load Balancing Support for DL workloads.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023

2022
On Noise Stability and Robustness of Adversarially Trained Networks on NVM Crossbars.
IEEE Trans. Very Large Scale Integr. Syst., 2022

Design Space and Memory Technology Co-Exploration for In-Memory Computing Based Machine Learning Accelerators.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Towards ADC-Less Compute-In-Memory Accelerators for Energy Efficient Deep Learning.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

HyperX: A Hybrid RRAM-SRAM partitioned system for error recovery in memristive Xbars.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

NAX: neural architecture and memristive xbar based accelerator co-design.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
NAX: Co-Designing Neural Network and Hardware Architecture for Memristive Xbar based Computing Systems.
CoRR, 2021

Complexity-aware Adaptive Training and Inference for Edge-Cloud Distributed AI Systems.
Proceedings of the 41st IEEE International Conference on Distributed Computing Systems, 2021

Brain-Inspired Computing: Adventure from Beyond CMOS Technologies to Beyond von Neumann Architectures ICCAD Special Session Paper.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

A 93 TOPS/Watt Near-Memory Reconfigurable SAD Accelerator for HEVC/AV1/JEM Encoding.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

On the Intrinsic Robustness of NVM Crossbars Against Adversarial Attacks.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Design Tools for Resistive Crossbar based Machine Learning Accelerators.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021

2020
Revisiting Stochastic Computing in the Era of Nanoscale Nonvolatile Technologies.
IEEE Trans. Very Large Scale Integr. Syst., 2020

IMAC: In-Memory Multi-Bit Multiplication and ACcumulation in 6T SRAM Array.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020

Resistive Crossbars as Approximate Hardware Building Blocks for Machine Learning: Opportunities and Challenges.
Proc. IEEE, 2020

Constructing energy-efficient mixed-precision neural networks through principal component analysis for edge intelligence.
Nat. Mach. Intell., 2020

Circuits and Architectures for In-Memory Computing-Based Machine Learning Accelerators.
IEEE Micro, 2020

Robustness Hidden in Plain Sight: Can Analog Computing Defend Against Adversarial Attacks?
CoRR, 2020

Conditionally Deep Hybrid Neural Networks Across Edge and Cloud.
CoRR, 2020

IMAC: In-memory multi-bit Multiplication andACcumulation in 6T SRAM Array.
CoRR, 2020

GENIEx: A Generalized Approach to Emulating Non-Ideality in Memristive Xbars using Neural Networks.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

In-Memory Computing in Emerging Memory Technologies for Machine Learning: An Overview.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
8T SRAM Cell as a Multibit Dot-Product Engine for Beyond Von Neumann Computing.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Neural network accelerator design with resistive crossbars: Opportunities and challenges.
IBM J. Res. Dev., 2019

Simultaneous vs. sequential auctions with risk averse bidders.
Games Econ. Behav., 2019

PCA-driven Hybrid network design for enabling Intelligence at the Edge.
CoRR, 2019

Efficient Hybrid Network Architectures for Extremely Quantized Neural Networks Enabling Intelligence at the Edge.
CoRR, 2019

Discretization Based Solutions for Secure Machine Learning Against Adversarial Attacks.
IEEE Access, 2019

On Robustness of Spin-Orbit-Torque Based Stochastic Sigmoid Neurons for Spiking Neural Networks.
Proceedings of the International Joint Conference on Neural Networks, 2019

Scaling Deep Spiking Neural Networks with Binary Stochastic Activations.
Proceedings of the 2019 IEEE International Conference on Cognitive Computing, 2019

Digital and Analog-Mixed-Signal In-Memory Processing in CMOS SRAM.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

2018
Technology Aware Training in Memristive Neuromorphic Systems for Nonideal Synaptic Crossbars.
IEEE Trans. Emerg. Top. Comput. Intell., 2018

Photonic Spiking Neural Networks - From Devices to Systems.
CoRR, 2018

All-Photonic Phase Change Spiking Neuron: Toward Fast Neural Computing using Light.
CoRR, 2018

Proposal for a Low Voltage Analog-to-Digital Converter using Voltage Controlled Stochastic Switching of Low Barrier Nanomagnets.
CoRR, 2018

Capacitively Driven Global Interconnect with Magnetoelectric Switching Based Receiver for Higher Energy Efficiency.
CoRR, 2018

8T SRAM Cell as a Multi-bit Dot Product Engine for Beyond von-Neumann Computing.
CoRR, 2018

2017
Technology Aware Training in Memristive Neuromorphic Systems based on non-ideal Synaptic Crossbars.
CoRR, 2017

Energy-Efficient Memories using Magneto-Electric Switching of Ferromagnets.
CoRR, 2017

2015
A selectorless RRAM with record memory window and nonlinearity based on trap filled limit mechanism.
Proceedings of the 15th Non-Volatile Memory Technology Symposium, 2015

2008
Examining the effects of cognitive style in individuals' technology use decision making.
Decis. Support Syst., 2008

2006
Bundle and separate sales in auctions with entry.
Games Econ. Behav., 2006

2005
Examining Effects of Cognitive Style on Technology Acceptance Decisions.
Proceedings of the Pacific Asia Conference on Information Systems, 2005


  Loading...