Lech Józwiak

Affiliations:
  • Eindhoven University of Technology, Netherlands


According to our database1, Lech Józwiak authored at least 130 papers between 1990 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Editorial: Recent developments and the future of <i>MICPRO</i>.
Microprocess. Microsystems, April, 2023

2021
Quality-driven Design of Deep Neural Network Accelerators for CPS and IoT Applications.
Proceedings of the 10th Mediterranean Conference on Embedded Computing, 2021

2018
An Access-Pattern-Aware On-Chip Vector Memory System with Automatic Loading for SIMD Architectures.
Proceedings of the 2018 IEEE High Performance Extreme Computing Conference, 2018

2017
Special issue on cyber-physical systems.
Microprocess. Microsystems, 2017

Advanced mobile and wearable systems.
Microprocess. Microsystems, 2017

Automatic instruction-set architecture synthesis for VLIW processor cores in the ASAM project.
Microprocess. Microsystems, 2017

2016
Special Section on European Projects in Embedded System Design 2015.
Microprocess. Microsystems, 2016

Message from the chairs.
Proceedings of the 5th Mediterranean Conference on Embedded Computing, 2016

Wearable and mobile systems.
Proceedings of the 5th Mediterranean Conference on Embedded Computing, 2016

2015
MICPRO special issue on European projects in embedded system design 2014.
Microprocess. Microsystems, 2015

A compilation technique and performance profits for VLIW with heterogeneous vectors.
Proceedings of the 4th Mediterranean Conference on Embedded Computing, 2015

FPGA-accelerated simulation engine for non-viral gene delivery.
Proceedings of the 9th International Conference on Signal Processing and Communication Systems, 2015

Mixed-length SIMD code generation for VLIW architectures with multiple native vector-widths.
Proceedings of the 26th IEEE International Conference on Application-specific Systems, 2015

2014
Preface.
Microprocess. Microsystems, 2014

Processor architecture exploration and synthesis of massively parallel multi-processor accelerators in application to LDPC decoding.
Microprocess. Microsystems, 2014

Construction and exploitation of VLIW ASIPs with heterogeneous vector-widths.
Microprocess. Microsystems, 2014

Instruction-set architecture exploration of VLIW ASIPs using a genetic algorithm.
Proceedings of the 3rd Mediterranean Conference on Embedded Computing, 2014

Construction and exploitation of VLIW asips with multiple vector-widths.
Proceedings of the 3rd Mediterranean Conference on Embedded Computing, 2014

Automatic complex instruction identification for efficient application mapping onto ASIPs.
Proceedings of the IEEE 5th Latin American Symposium on Circuits and Systems, 2014

A framework for automatic custom instruction identification on multi-issue ASIPs.
Proceedings of the 12th IEEE International Conference on Industrial Informatics, 2014

BuildMaster: Efficient ASIP architecture exploration through compilation and simulation result caching.
Proceedings of the 17th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2014

2013
Parallel processing of intersections for ray-tracing in application-specific processors and GPGPUs.
Microprocess. Microsystems, 2013

Hardware reuse in modern application-specific processors and accelerators.
Microprocess. Microsystems, 2013

Application-specific processors and system-on-chips for embedded and pervasive applications.
Microprocess. Microsystems, 2013

ASAM: Automatic architecture synthesis and application mapping.
Microprocess. Microsystems, 2013

Design of massively parallel hardware multi-processors for highly-demanding embedded applications.
Microprocess. Microsystems, 2013

Hierarchical DSE for multi-ASIP platforms.
Proceedings of the 2nd Mediterranean Conference on Embedded Computing, 2013

Quality-driven model-based design of multi-processor embedded systems for highlydemanding applications.
Proceedings of the 2nd Mediterranean Conference on Embedded Computing, 2013

Instruction-set architecture exploration strategies for deeply clustered VLIW ASIPs.
Proceedings of the 2nd Mediterranean Conference on Embedded Computing, 2013

Rapid and accurate energy estimation of vector processing in VLIW ASIPs.
Proceedings of the 2nd Mediterranean Conference on Embedded Computing, 2013

Hardware Multi-processor Design for Highly-Demanding Applications.
Proceedings of the Tenth International Conference on Information Technology: New Generations, 2013

HW/SW architecture co-synthesis of ASIP-based MPSoCs for highly- demanding applications.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2013

A Reconfigurable Ray-Tracing Multi-Processor SoC with Hardware Replication-Aware Instruction Set Extension.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2013

An Efficient Method for Energy Estimation of Application Specific Instruction-Set Processors.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013

Exploring processor parallelism: Estimation methods and optimization strategies.
Proceedings of the 16th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2013

2012
Communication and Memory Architecture Design of Application-Specific High-End Multiprocessors.
VLSI Design, 2012

Scalable communication architectures for massively parallel hardware multi-processors.
J. Parallel Distributed Comput., 2012

Interactive Volume Rendering Based on Ray-Casting for Multi-core Architectures.
Proceedings of the High Performance Computing for Computational Science, 2012

Design space exploration in application-specific hardware synthesis for multiple communicating nested loops.
Proceedings of the 2012 International Conference on Embedded Computer Systems: Architectures, 2012

ASAM: Automatic Architecture Synthesis and Application Mapping.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012

Algorithm Parallelism Estimation for Constraining Instruction-Set Synthesis for VLIW Processors.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012

Transformation-Based Exploration of Data Parallel Architecture for Customizable Hardware: A JPEG Encoder Case Study.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012

2011
Advanced Architectures for Highly-demanding Embedded and Pervasive Applications.
Proceedings of the PECCS 2011, 2011

Issues and Challenges in Development of Massively-Parallel Heterogeneous MPSoCs Based on Adaptable ASIPs.
Proceedings of the 19th International Euromicro Conference on Parallel, 2011

A parallel architecture for ray-tracing with an embedded intersection algorithm.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Massively Parallel Identification of Intersection Points for GPGPU Ray Tracing.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2011

A Parallel Ray Tracing Architecture Suitable for Application-Specific Hardware and GPGPU Implementations.
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011

Rapid and Accurate Leakage Power Estimation for Nano-CMOS Circuits.
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011

2010
Modern development methods and tools for embedded reconfigurable systems: A survey.
Integr., 2010

Quality-driven SoC architecture synthesis for embedded applications.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2010, 2010

Architecture Design of Reconfigurable Accelerators for Demanding Applications.
Proceedings of the Seventh International Conference on Information Technology: New Generations, 2010

Quality-driven methodology for demanding accelerator design.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

2009
Modern Architectures for Embedded Reconfigurable Systems - a Survey.
J. Circuits Syst. Comput., 2009

CABAC Accelerator Architectures for Video Compression in Future Multimedia: A Survey.
Proceedings of the Embedded Computer Systems: Architectures, 2009

Survey of Advanced CABAC Accelerator Architectures for Future Multimedia.
Proceedings of the Reconfigurable Computing: Architectures, 2009

2008
Editorial.
J. Syst. Archit., 2008

Quality-driven model-based architecture synthesis for real-time embedded SoCs.
J. Syst. Archit., 2008

Hardware Synthesis for Reconfigurable Heterogeneous Pipelined Accelerators.
Proceedings of the Fifth International Conference on Information Technology: New Generations (ITNG 2008), 2008

High-Quality Circuit Synthesis for Modern Technologies.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

Technology Library Modelling for Information-driven Circuit Synthesis.
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008

2007
Quality Driven Manufacturing and SOC Designs.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

Quality-Driven Architecture Synthesis and Power Aware Design of Embedded SoCs.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

2006
Multi-objective Optimal Controller Synthesis for Heterogeneous Embedded Systems.
Proceedings of 2006 International Conference on Embedded Computer Systems: Architectures, 2006

Multi-objective Optimal FSM State Assignment.
Proceedings of the Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD 2006), 30 August, 2006

Quality-Driven Template-Based Architecture Synthesis for Real-time Embedded SoCs.
Proceedings of the Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD 2006), 30 August, 2006

Life-Inspired Systems and Their Quality-Driven Design.
Proceedings of the Architecture of Computing Systems, 2006

2005
Reconfigurable embedded systems: Synthesis, design and application.
J. Syst. Archit., 2005

Information-driven circuit synthesis with the pre-characterized gate libraries.
J. Syst. Archit., 2005

Life-Inspired Systems: Assuring Quality in the Era of Complexity, invited.
Proceedings of the 5th IEEE International Workshop on System-on-Chip for Real-Time Applications (IWSOC 2005), 2005

Plenary Session 2P.
Proceedings of the 6th International Symposium on Quality of Electronic Design (ISQED 2005), 2005

High-quality Sub-function Construction in the Information-driven Circuit Synthesis with Gates.
Proceedings of the Eighth Euromicro Symposium on Digital Systems Design (DSD 2005), 30 August, 2005

2004
General decomposition of incompletely specified sequential machines with multi-state behavior realization.
J. Syst. Archit., 2004

An Effective Solution of Benchmarking Problem FSM Benchmark Generator and Its Application to Analysis of State Assignment Methods.
Proceedings of the 2004 Euromicro Symposium on Digital Systems Design (DSD 2004), Architectures, Methods and Tools, 31 August, 2004

Information Trans-Coders in Information-Driven Circuit Synthesis.
Proceedings of the 2004 Euromicro Symposium on Digital Systems Design (DSD 2004), Architectures, Methods and Tools, 31 August, 2004

Life-Inspired Systems.
Proceedings of the 2004 Euromicro Symposium on Digital Systems Design (DSD 2004), Architectures, Methods and Tools, 31 August, 2004

2003
Fast and compact sequential circuits for the FPGA-based reconfigurable systems.
J. Syst. Archit., 2003

Effective and efficient FPGA synthesis through general functional decomposition.
J. Syst. Archit., 2003

Preface.
J. Syst. Archit., 2003

Special-issue on reconfigurable systems.
J. Syst. Archit., 2003

Advanced AI Search Techniques in Modern Digital Circuit Synthesis.
Artif. Intell. Rev., 2003

Information-driven Library-based Circuit Synthesis.
Proceedings of the 2003 Euromicro Symposium on Digital Systems Design (DSD 2003), 2003

2002
Term Trees in Application to an Effective and Efficient ATPG for AND-EXOR and AND-OR Circuits.
VLSI Design, 2002

Learning Hardware Using Multiple-Valued Logic, Part 2: Cube Calculus and Architecture.
IEEE Micro, 2002

Learning Hardware Using Multiple-Valued Logic, Part 1: Introduction and Approach.
IEEE Micro, 2002

Genetic engineering versus natural evolution: Genetic algorithms with deterministic operators.
J. Syst. Archit., 2002

Interoperability and Quality of New EDA Tools for Sequential Logic Synthesis.
Proceedings of the 3rd International Symposium on Quality of Electronic Design, 2002

2001
Functional decomposition with an efficient input support selection for sub-functions based on information relationship measures.
J. Syst. Archit., 2001

Symbolic two-dimensional minimization of strongly unspecified finite state machines.
J. Syst. Archit., 2001

Quality-driven design in the system-on-a-chip era: Why and how?
J. Syst. Archit., 2001

Modern methods and tools in digital system design.
J. Syst. Archit., 2001

High-quality FPGA Designs through Functional Decomposition with Sub-function Input Support Selection Based on Information Relationship Measures.
Proceedings of the 2nd International Symposium on Quality of Electronic Design (ISQED 2001), 2001

Regular Realization of Symmetric Functions Using Reversible Logic.
Proceedings of the Euromicro Symposium on Digital Systems Design 2001 (Euro-DSD 2001), 2001

Fast and Compact Sequential Circuits through the Information-Driven Circuit Synthesis.
Proceedings of the Euromicro Symposium on Digital Systems Design 2001 (Euro-DSD 2001), 2001

Effective and Efficient FPGA Synthesis through Functional Decomposition Based on Information Relationship Measures.
Proceedings of the Euromicro Symposium on Digital Systems Design 2001 (Euro-DSD 2001), 2001

High-quality sub-function construction in functional decomposition based on information relationship measures.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001

2000
Quality-Driven System-on-a-Chip Design.
Proceedings of the 1st International Symposium on Quality of Electronic Design (ISQED 2000), 2000

Multi-Valued Sub-Function Encoding in Functional Decomposition Based on Information Relationships Measures.
Proceedings of the 30th IEEE International Symposium on Multiple-Valued Logic, 2000

A New State Assignment Method Targeting FPGA Implementations.
Proceedings of the 26th EUROMICRO 2000 Conference, 2000

1999
Information Relationships and Measures in Application to Logic Design.
Proceedings of the 29th IEEE International Symposium on Multiple-Valued Logic, 1999

Technology Driven Multilevel Logic Synthesis Based on Functional Decomposition into Gates.
Proceedings of the 25th EUROMICRO '99 Conference, 1999

Efficient Input Support Selection for Sub-functions in Functional Decomposition Based on Information Relationship Measures.
Proceedings of the 25th EUROMICRO '99 Conference, 1999

The Influence of the Number of Values in Sub-Functions on the Effectiveness and Efficiency of the Functional Decomposition.
Proceedings of the 25th EUROMICRO '99 Conference, 1999

Learning in Hardware: Architecture and Implementation of an FPGA-Based Rough Set Machine.
Proceedings of the 25th EUROMICRO '99 Conference, 1999

Functional Decomposition based on Information Relationship Measures Extremely Effective and Efficient for Symmetric Functions.
Proceedings of the 25th EUROMICRO '99 Conference, 1999

Digital System Design: Architectures, Methods and Tools.
Proceedings of the 25th EUROMICRO '99 Conference, 1999

Synthesis of XOR Storage Schemes with Different Cost for Minimization of Memory Contention.
Proceedings of the 25th EUROMICRO '99 Conference, 1999

1998
Application of the Information Measures to Input Support Selection in Functional Decomposition.
Proceedings of the Rough Sets and Current Trends in Computing, 1998

Analysis and Synthesis of Information Systems with Information Relationships and Measures.
Proceedings of the Rough Sets and Current Trends in Computing, 1998

Efficient Logic Synthesis for FPGAs with Functional Decomposition Based on Information Relationship Measure.
Proceedings of the 24th EUROMICRO '98 Conference, 1998

Automated Synthesis of Interleaved Memory Systems for Custom Computing Machine.
Proceedings of the 24th EUROMICRO '98 Conference, 1998

Design of Self-Synchronized Component FSMs for Self-Timed Systems.
Proceedings of the 24th EUROMICRO '98 Conference, 1998

Solving Synthesis Problems with Genetic Algorithms.
Proceedings of the 24th EUROMICRO '98 Conference, 1998

An Efficient Approach to Decomposition of Multi-Output Boolean Functions with Large Sets of Bound Variables.
Proceedings of the 24th EUROMICRO '98 Conference, 1998

1997
Decomposition of Multiple-Valued Relations .
Proceedings of the 27th IEEE International Symposium on Multiple-Valued Logic, 1997

Cube Diagram Bundles: A New Representation of Strongly Unspecified Multiple-Valued Functions and Relations.
Proceedings of the 27th IEEE International Symposium on Multiple-Valued Logic, 1997

Interactive codesign for real-time embedded control systems: task graph generation from SA/VHDL models.
Proceedings of the 23rd EUROMICRO Conference '97, 1997

Fast Minimization Of Multi-Output Boolean Functions In Sum-Of-Condition-Decoders Structures.
Proceedings of the 23rd EUROMICRO Conference '97, 1997

On the use of term trees for effective and efficient test pattern generation.
Proceedings of the 23rd EUROMICRO Conference '97, 1997

Information Relationships and Measures An Analysis Apparatus for Efficient Information System Synthesis.
Proceedings of the 23rd EUROMICRO Conference '97, 1997

1996
Quality-Driven Decision Making Methodology for System-Level Design.
Proceedings of the 22rd EUROMICRO Conference '96, 1996

1995
Division-Based Versus General Decomposition- Based Multiple-Level Logic Synthesis.
VLSI Design, 1995

General Decomposition and Its Use in Digital Circuit Synthesis.
VLSI Design, 1995

Guest Editor's Introduction.
VLSI Design, 1995

Efficient decomposition of assigned sequential machines and Boolean functions for PLD implementations.
Proceedings of the Electronic Technology Directions to the Year 2000, 1995

1993
Algorithms and tools for VLSI design.
Microprocess. Microprogramming, 1993

1992
On the use of OR-BDDs for test generation.
Microprocess. Microprogramming, 1992

An Efficient Heuristic Method for State Assignment of Large Sequential Machines.
J. Circuits Syst. Comput., 1992

1991
An efficient for the sequential general decomposition of sequential machines.
Microprocessing and Microprogramming, 1991

1990
Simultaneous decompositions of sequential machines.
Microprocessing and Microprogramming, 1990

Efficent suboptimal state assignment for large sequential machines.
Proceedings of the European Design Automation Conference, 1990


  Loading...