Marc Geilen

Orcid: 0000-0002-2629-3249

Affiliations:
  • Eindhoven University of Technology, Netherlands


According to our database1, Marc Geilen authored at least 161 papers between 1996 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Efficient Computation of the Max-Plus Semantics of Synchronous Dataflow Graphs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., October, 2023

Modeling and analysis of switching max-plus linear systems with discrete-event feedback.
Discret. Event Dyn. Syst., September, 2023

Time- and Behavior-Preserving Execution of Determinate Supervisory Control.
CoRR, 2023

Tool interoperability for model-based systems engineering.
CoRR, 2023

Dependability of Future Edge-AI Processors: Pandora's Box.
Proceedings of the IEEE European Test Symposium, 2023


2022
Dilate-Invariant Temporal Convolutional Network for Real-Time Edge Applications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Partial-Order Reduction for Supervisory Controller Synthesis.
IEEE Trans. Autom. Control., 2022

CONVOLVE: Smart and seamless design of smart edge processors.
CoRR, 2022

Constructive Model Inference: Model Learning for Component-based Software Architectures.
Proceedings of the 17th International Conference on Software Technologies, 2022

2021
Design and management of image processing pipelines within CPS: Acquired experience towards the end of the FitOptiVis ECSEL Project.
Microprocess. Microsystems, November, 2021

Interface Modeling for Quality and Resource Management.
Log. Methods Comput. Sci., 2021

A Deployment Framework for Quality-Sensitive Applications in Resource-Constrained Dynamic Environments.
Proceedings of the 24th Euromicro Conference on Digital System Design, 2021

2020
Firmness Analysis of Real-time Tasks.
ACM Trans. Embed. Comput. Syst., 2020

Multi-Angle Fusion for Low-Cost Near-Field Ultrasonic in-Air Gesture Recognition.
IEEE Access, 2020

QRML: A Component Language and Toolset for Quality and Resource Management.
Proceedings of the Forum for Specification and Design Languages, 2020

Design and management of image processing pipelines within CPS: 2 years of experience from the FitOptiVis ECSEL Project.
Proceedings of the 23rd Euromicro Conference on Digital System Design, 2020

A Performance Analysis Framework for Real-Time Systems Sharing Multiple Resources.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Low Complexity Multi-directional In-Air Ultrasonic Gesture Recognition Using a TCN.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
Modeling and Analysis of FPGA Accelerators for Real-Time Streaming Video Processing in the Healthcare Domain.
J. Signal Process. Syst., 2019

Monotonic Optimization of Dataflow Buffer Sizes.
J. Signal Process. Syst., 2019

Parametric Scheduler Characterization.
ACM Trans. Embed. Comput. Syst., 2019

The FitOptiVis ECSEL project: highly efficient distributed embedded image/video processing in cyber-physical systems.
Proceedings of the 16th ACM International Conference on Computing Frontiers, 2019

2018
Scalable Analysis for Multi-Scale Dataflow Models.
ACM Trans. Embed. Comput. Syst., 2018

Parametric Critical Path Analysis for Event Networks With Minimal and Maximal Time Lags.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Throughput-Buffering Trade-Off Analysis for Scenario-Aware Dataflow Models.
Proceedings of the 26th International Conference on Real-Time Networks and Systems, 2018

Firmness Analysis of Real-Time Applications Under Static-Priority Preemptive Scheduling.
Proceedings of the IEEE Real-Time and Embedded Technology and Applications Symposium, 2018

It's a Matter of Time: Modeling and Analysis of Time Dependent Systems Using Scenario-Aware Dataflow.
Proceedings of the 16th ACM/IEEE International Conference on Formal Methods and Models for System Design, 2018

Compositionality in scenario-aware dataflow: a rendezvous perspective.
Proceedings of the 19th ACM SIGPLAN/SIGBED International Conference on Languages, 2018

A Heuristic for Variable Re-Entrant Scheduling Problems.
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018

Timing Prediction for Service-Based Applications Mapped on Linux-Based Multi-core Platforms.
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018

Compositional Dataflow Modelling for Cyclo-Static Applications.
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018

If We Could Go Back in Time... On the Use of 'Unnatural' Time and Ordering in Dataflow Models.
Proceedings of the Principles of Modeling, 2018

Partial-Order Reduction for Performance Analysis of Max-Plus Timed Systems.
Proceedings of the 18th International Conference on Application of Concurrency to System Design, 2018

2017
NoC-Based Multiprocessor Architecture for Mixed-Time-Criticality Applications.
Proceedings of the Handbook of Hardware/Software Codesign., 2017

Performance Analysis of Weakly-Consistent Scenario-Aware Dataflow Graphs.
J. Signal Process. Syst., 2017

Task-FIFO Co-Scheduling of Streaming Applications on MPSoCs with Predictable Memory Hierarchy.
ACM Trans. Embed. Comput. Syst., 2017

Online Scheduling of 2-Re-entrant Flexible Manufacturing Systems.
ACM Trans. Embed. Comput. Syst., 2017

Parameterized Dataflow Scenarios.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Worst-case performance analysis of SDF-based parameterized dataflow.
Microprocess. Microsystems, 2017

Mapping of synchronous dataflow graphs on MPSoCs based on parallelism enhancement.
J. Parallel Distributed Comput., 2017

Towards Component-Based (max, +) Algebraic Throughput Analysis of Hierarchical Synchronous Data Flow Models.
Proceedings of the Computer Safety, Reliability, and Security, 2017

2016
Multiconstraint Static Scheduling of Synchronous Dataflow Graphs Via Retiming and Unfolding.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

End-to-End Latency Analysis of Dataflow Scenarios Mapped Onto Shared Heterogeneous Resources.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

xCPS: a tool to explore cyber physical systems.
SIGBED Rev., 2016

Special Issue on Real-Time Scheduling on Heterogeneous Multi-core Processors.
Microprocess. Microsystems, 2016

Sample-drop firmness analysis of TDMA-scheduled control applications.
Proceedings of the 11th IEEE Symposium on Industrial Embedded Systems, 2016

Tight temporal bounds for dataflow applications mapped onto shared resources.
Proceedings of the 11th IEEE Symposium on Industrial Embedded Systems, 2016

Communication aware multiprocessor binding for shared memory systems.
Proceedings of the 11th IEEE Symposium on Industrial Embedded Systems, 2016

Compositional specification of functionality and timing of manufacturing systems.
Proceedings of the 2016 Forum on Specification and Design Languages, 2016

A Fast Estimator of Performance with Respect to the Design Parameters of Self Re-Entrant Flowshops.
Proceedings of the 2016 Euromicro Conference on Digital System Design, 2016

Online heuristic for the Multi-Objective Generalized traveling salesman problem.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Checking Metric Temporal Logic with TRACE.
Proceedings of the 16th International Conference on Application of Concurrency to System Design, 2016

2015
A Distributed Reconfiguration Approach for Quality-of-Service Provisioning in Dynamic Heterogeneous Wireless Sensor Networks.
ACM Trans. Sens. Networks, 2015

Composable and predictable dynamic loading for time-critical partitioned systems on multiprocessor architectures.
Microprocess. Microsystems, 2015

Introduction to special issue on cyber-physical systems.
Microprocess. Microsystems, 2015

Multi-Constraint multi-processor Resource Allocation.
Proceedings of the 2015 International Conference on Embedded Computer Systems: Architectures, 2015

Iterative robust multiprocessor scheduling.
Proceedings of the 23rd International Conference on Real Time Networks and Systems, 2015

Modular model-based supervisory controller design for wafer logistics in lithography machines.
Proceedings of the 18th ACM/IEEE International Conference on Model Driven Engineering Languages and Systems, 2015


Parametrized dataflow scenarios.
Proceedings of the 2015 International Conference on Embedded Software, 2015

Run-time middleware to support real-time system scenarios.
Proceedings of the European Conference on Circuit Theory and Design, 2015

Worst-Case Throughput Analysis of SDF-Based Parametrized Dataflow.
Proceedings of the 2015 Euromicro Conference on Digital System Design, 2015

A re-entrant flowshop heuristic for online scheduling of the paper path in a large scale printer.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Worst-case latency analysis of SDF-based parametrized dataflow MoCs.
Proceedings of the 2015 Conference on Design and Architectures for Signal and Image Processing, 2015

2014
Efficient Cluster Mobility Support for TDMA-Based MAC Protocols in Wireless Sensor Networks.
ACM Trans. Sens. Networks, 2014

Fast-performance simulation for Gossip-based Wireless Sensor Networks.
Simul., 2014

Worst-case Throughput Analysis for Parametric Rate and Parametric Actor Execution Time Scenario-Aware Dataflow Graphs.
Proceedings of the Proceedings 1st International Workshop on Synthesis of Continuous Parameters, 2014

Robustness analysis of multiprocessor schedules.
Proceedings of the XIVth International Conference on Embedded Computer Systems: Architectures, 2014

Composable and Predictable Dynamic Loading for Time-Critical Partitioned Systems.
Proceedings of the 17th Euromicro Conference on Digital System Design, 2014

Memory-constrained static rate-optimal scheduling of synchronous dataflow graphs via retiming.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Timing analysis of First-Come First-Served scheduled interval-timed Directed Acyclic Graphs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Symbolic Analysis of Dataflow Applications Mapped onto Shared Heterogeneous Resources.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
Kahn Process Networks and a Reactive Extension.
Proceedings of the Handbook of Signal Processing Systems, 2013

A fast and scalable multidimensional multiple-choice knapsack heuristic.
ACM Trans. Design Autom. Electr. Syst., 2013

Compositionality in synchronous data flow: Modular code generation from hierarchical SDF graphs.
ACM Trans. Embed. Comput. Syst., 2013

Schedule-Extended Synchronous Dataflow Graphs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Throughput-constrained DVFS for scenario-aware dataflow graphs.
Proceedings of the 19th IEEE Real-Time and Embedded Technology and Applications Symposium, 2013

An empirical study of link quality estimation techniques for disconnection detection in WBANs.
Proceedings of the 16th ACM International Conference on Modeling, 2013

Automated extraction of scenario sequences from disciplined dataflow networks.
Proceedings of the 11th ACM/IEEE International Conference on Formal Methods and Models for Codesign, 2013

Fast Multiprocessor Scheduling with Fixed Task Binding of Large Scale Industrial Cyber Physical Systems.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013

2012
Efficient Retiming of Multirate DSP Algorithms.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

On-demand data forwarding for automatic adaptation of data propagation in WBANs.
Proceedings of the 9th Annual IEEE Communications Society Conference on Sensor, 2012

Demonstrating on-demand listening and data forwarding in wireless body area networks.
Proceedings of the 9th Annual IEEE Communications Society Conference on Sensor, 2012

Predictable dynamic embedded data processing.
Proceedings of the 2012 International Conference on Embedded Computer Systems: Architectures, 2012

Design space exploration in application-specific hardware synthesis for multiple communicating nested loops.
Proceedings of the 2012 International Conference on Embedded Computer Systems: Architectures, 2012

Static Rate-Optimal Scheduling of Multirate DSP Algorithms via Retiming and Unfolding.
Proceedings of the 2012 IEEE 18th Real Time and Embedded Technology and Applications Symposium, 2012

Fast sink placement for Gossip-based Wireless Sensor Networks.
Proceedings of the 31st IEEE International Performance Computing and Communications Conference, 2012

Parametric throughput analysis of scenario-aware dataflow graphs.
Proceedings of the 30th International IEEE Conference on Computer Design, 2012

A Distributed Feedback Control Mechanism for Quality-of-Service Maintenance in Wireless Sensor Networks.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012

Playing games with scenario- and resource-aware SDF graphs through policy iteration.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Modeling static-order schedules in synchronous dataflow graphs.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Worst-case throughput analysis of real-time dynamic streaming applications.
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012

2011
MoBAN: a configurable mobility model for wireless body area networks.
Proceedings of the 4th International ICST Conference on Simulation Tools and Techniques, 2011

Dynamic data prioritization for quality-of-service differentiation in heterogeneous Wireless Sensor Networks.
Proceedings of the 8th Annual IEEE Communications Society Conference on Sensor, 2011

Integrated model-driven design-space exploration for embedded systems.
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011

Scenario-aware dataflow: Modeling, analysis and implementation of dynamic applications.
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011

A Probabilistic Acknowledgment Mechanism for Wireless Sensor Networks.
Proceedings of the Sixth International Conference on Networking, Architecture, and Storage, 2011

Proactive reconfiguration of wireless sensor networks.
Proceedings of the 14th International Symposium on Modeling Analysis and Simulation of Wireless and Mobile Systems, 2011

Distributed maintenance of minimum-cost path information in wireless sensor networks.
Proceedings of the PM2HW2N@MSWiM 2011: Proceedings of the 6th ACM workshop on Performance monitoring and measurement of heterogeneous wireless and wired networks, 2011

Analyzing synchronous dataflow scenarios for dynamic software-defined radio applications.
Proceedings of the 2011 International Symposium on System on Chip, 2011

The earlier the better: a theory of timed actor interfaces.
Proceedings of the 14th ACM International Conference on Hybrid Systems: Computation and Control, 2011

Performance Model Checking Scenario-Aware Dataflow.
Proceedings of the Formal Modeling and Analysis of Timed Systems, 2011

Pareto Analysis with Uncertainty.
Proceedings of the IEEE/IFIP 9th International Conference on Embedded and Ubiquitous Computing, 2011

Iteration-Based Trade-Off Analysis of Resource-Aware SDF.
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011

Hybrid Code-Data Prefetch-Aware Multiprocessor Task Graph Scheduling.
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011

Designing next-generation real-time streaming systems.
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011

2010
Synchronous dataflow scenarios.
ACM Trans. Embed. Comput. Syst., 2010

Buffer Sizing for Rate-Optimal Single-Rate Data-Flow Scheduling Revisited.
IEEE Trans. Computers, 2010

MCMAC: An Optimized Medium Access Control Protocol for Mobile Clusters in Wireless Sensor Networks.
Proceedings of the Seventh Annual IEEE Communications Society Conference on Sensor, 2010

Model-Driven Design-Space Exploration for Embedded Systems: The Octopus Toolset.
Proceedings of the Leveraging Applications of Formal Methods, Verification, and Validation, 2010

Predicting the throughput of multiprocessor applications under dynamic workload.
Proceedings of the 28th International Conference on Computer Design, 2010

Thermal-aware scratchpad memory design and allocation.
Proceedings of the 28th International Conference on Computer Design, 2010

A Predictable Multiprocessor Design Flow for Streaming Applications with Dynamic Behaviour.
Proceedings of the 13th Euromicro Conference on Digital System Design, 2010

Automated bottleneck-driven design-space exploration of media processing systems.
Proceedings of the Design, Automation and Test in Europe, 2010

Simultaneous budget and buffer size computation for throughput-constrained task graphs.
Proceedings of the Design, Automation and Test in Europe, 2010

Worst-case performance analysis of synchronous dataflow scenarios.
Proceedings of the 8th International Conference on Hardware/Software Codesign and System Synthesis, 2010

A robust protocol stack for multi-hop wireless body area networks with transmit power adaptation.
Proceedings of the 5th International ICST Conference on Body Area Networks, 2010

Kahn Process Networks and a Reactive Extension.
Proceedings of the Handbook of Signal Processing Systems, 2010

2009
Quality-of-service trade-off analysis for wireless sensor networks.
Perform. Evaluation, 2009

Fast simulation methods to predict wireless sensor network performance.
Proceedings of the 6th ACM International Workshop on Performance Evaluation of Wireless Ad Hoc, 2009

Configuring multi-objective evolutionary algorithms for design-space exploration of wireless sensor networks.
Proceedings of the 4th ACM workshop on Performance monitoring and measurement of heterogeneous wireless and wired networks, 2009

QoS Management for Wireless Sensor Networks with a Mobile Sink.
Proceedings of the Wireless Sensor Networks, 6th European Conference, 2009

Exploring trade-offs between performance and resource requirements for synchronous dataflow graphs.
Proceedings of the 7th IEEE/ACM/IFIP Workshop on Embedded Systems for Real-Time Multimedia, 2009

A parameterized compositional multi-dimensional multiple-choice knapsack heuristic for CMP run-time management.
Proceedings of the 46th Design Automation Conference, 2009

Reduction techniques for synchronous dataflow graphs.
Proceedings of the 46th Design Automation Conference, 2009

2008
Throughput-Buffering Trade-Off Exploration for Cyclo-Static and Synchronous Dataflow Graphs.
IEEE Trans. Computers, 2008

Resource-efficient routing and scheduling of time-constrained streaming communication on networks-on-chip.
J. Syst. Archit., 2008

Formal Modeling and Scheduling of Datapaths of Digital Document Printers.
Proceedings of the Formal Modeling and Analysis of Timed Systems, 2008

Scheduling Optimisations for SPIN to Minimise Buffer Requirements in Synchronous Data Flow.
Proceedings of the Formal Methods in Computer-Aided Design, 2008

Parametric Throughput Analysis of Synchronous Data Flow Graphs.
Proceedings of the Design, Automation and Test in Europe, 2008

SPaC: a symbolic pareto calculator.
Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, 2008

2007
An Algebra of Pareto Points.
Fundam. Informaticae, 2007

Analysing qos trade-offs in wireless sensor networks.
Proceedings of the 10th International Symposium on Modeling Analysis and Simulation of Wireless and Mobile Systems, 2007

Software/Hardware Engineering with the Parallel Object-Oriented Specification Language.
Proceedings of the 5th ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2007), May 30, 2007

Latency Minimization for Synchronous Data Flow Graphs.
Proceedings of the Tenth Euromicro Conference on Digital System Design: Architectures, 2007

A calculator for Pareto points.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

Multiprocessor Resource Allocation for Throughput-Constrained Synchronous Dataflow Graphs.
Proceedings of the 44th Design Automation Conference, 2007

2006
A scenario-aware data flow model for combined long-run average and worst-case performance analysis.
Proceedings of the 4th ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2006), 2006

Liveness and Boundedness of Synchronous Data Flow Graphs.
Proceedings of the Formal Methods in Computer-Aided Design, 6th International Conference, 2006

Resource-Efficient Routing and Scheduling of Time-Constrained Network-on-Chip Communication.
Proceedings of the Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD 2006), 30 August, 2006

Exploring trade-offs in buffer requirements and throughput constraints for synchronous dataflow graphs.
Proceedings of the 43rd Design Automation Conference, 2006

Branching-Time Property Preservation Between Real-Time Systems.
Proceedings of the Automated Technology for Verification and Analysis, 2006

SDF<sup>3</sup>: SDF For Free.
Proceedings of the Sixth International Conference on Application of Concurrency to System Design (ACSD 2006), 2006

Throughput Analysis of Synchronous Data Flow Graphs.
Proceedings of the Sixth International Conference on Application of Concurrency to System Design (ACSD 2006), 2006

2005
Predictable Embedding of Large Data Structures in Multiprocessor Networks-on-Chip.
Proceedings of the 2005 Design, 2005

Minimising buffer requirements of synchronous dataflow graphs with model checking.
Proceedings of the 42nd Design Automation Conference, 2005

2004
Cluster-Based Partial-Order Reduction.
Autom. Softw. Eng., 2004

Reactive process networks.
Proceedings of the EMSOFT 2004, 2004

2003
Real-time Property Preservation in Approximations of Timed Systems.
Proceedings of the 1st ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2003), 2003

Requirements on the Execution of Kahn Process Networks.
Proceedings of the Programming Languages and Systems, 2003

An Improved On-The-Fly Tableau Construction for a Real-Time Temporal Logic.
Proceedings of the Computer Aided Verification, 15th International Conference, 2003

Ambient intelligence - impact on embedded system design.
Kluwer, ISBN: 978-1-4020-7668-8, 2003

2001
On the Construction of Monitors for Temporal Logic Properties.
Proceedings of the Workshop on Runtime Verification, 2001

Object-oriented modelling and specification using SHE.
Comput. Lang., 2001

2000
An On-the-Fly Tableau Construction for a Real-Time Temporal Logic.
Proceedings of the Formal Techniques in Real-Time and Fault-Tolerant Systems, 2000

1999
System Level Models for Real-Time Communication.
Proceedings of the 25th EUROMICRO '99 Conference, 1999

Software Synthesis for System Level Design Using Process Execution Trees.
Proceedings of the 25th EUROMICRO '99 Conference, 1999

1998
System Level Modelling for Hardware/Software Systems.
Proceedings of the 24th EUROMICRO '98 Conference, 1998

1996
On the discrete Gabor transform and the discrete Zak transform.
Signal Process., 1996

Gabor's Signal Expansion And The Zak Transform With Non-critical Sampling.
Proceedings of the Fourth International Symposium on Signal Processing and Its Applications, 1996


  Loading...