Tianqi Wang

Orcid: 0009-0008-4198-5105

According to our database1, Tianqi Wang authored at least 147 papers between 2004 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Event-Triggered Distributed Observer for a Rigid Body Leader System Over Acyclic Switching Networks and its Application.
Unmanned Syst., March, 2024

Multi-view class incremental learning.
Inf. Fusion, February, 2024

Joint Detection and Delay-Doppler Estimation Algorithms for MIMO Radars.
IEEE Trans. Signal Process., 2024

Uplink Data Rate Maximization in Multi-Cell BackCom NOMA Systems.
IEEE Open J. Commun. Soc., 2024

DriveCoT: Integrating Chain-of-Thought Reasoning with End-to-End Driving.
CoRR, 2024

LiMAML: Personalization of Deep Recommender Models via Meta Learning.
CoRR, 2024

DeepAccident: A Motion and Accident Prediction Benchmark for V2X Autonomous Driving.
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024

Towards Continual Learning Desiderata via HSIC-Bottleneck Orthogonalization and Equiangular Embedding.
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024

2023
Probing Lexical Ambiguity in Chinese Characters via Their Word Formations: Convergence of Perceived and Computed Metrics.
Cogn. Sci., November, 2023

Joint Phase Shift and Beamforming Design in a Multi-User MISO STAR-RIS Assisted Downlink NOMA Network.
IEEE Trans. Veh. Technol., July, 2023

Sequential or jumping: context-adaptive response generation for open-domain dialogue systems.
Appl. Intell., May, 2023

3-D Sequential Joint Inversion of Magnetotelluric, Magnetic, and Gravity Data Based on Coreference Model and Wide-Range Petrophysical Constraints.
IEEE Trans. Geosci. Remote. Sens., 2023

AccidentGPT: Accident Analysis and Prevention from V2X Environmental Perception with Multi-modal Large Model.
CoRR, 2023

IF2Net: Innately Forgetting-Free Networks for Continual Learning.
CoRR, 2023

DeepAccident: A Motion and Accident Prediction Benchmark for V2X Autonomous Driving.
CoRR, 2023

Fast-BEV: Towards Real-time On-vehicle Bird's-Eye View Perception.
CoRR, 2023

CPAD-Net: Contextual parallel attention and dilated network for liver tumor segmentation.
Biomed. Signal Process. Control., 2023

Constrained linear output regulation via measurement output feedback model predictive control.
Autom., 2023

Adaptive Neural Network Control for Exoskeleton Motion Rehabilitation Robot With Disturbances and Uncertain Parameters.
IEEE Access, 2023

Compact Depth-Wise Separable Precise Network for Depth Completion.
IEEE Access, 2023

Voltage Scaling-Agnostic Counteraction of Side-Channel Neural Net Reverse Engineering via Machine Learning Compensation and Multi-Level Shuffling.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

ECC-Less Multi-Level SRAM Physically Unclonable Function and 127% PUF-to-Memory Capacity Ratio with No Bitcell Modification in 28nm.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

Efficient Joint Video Denoising and Super-Resolution.
Proceedings of the IEEE International Conference on Image Processing, 2023

A 0D-3D multi-scale model of the portal venous system coupled with the entire cardiovascular system applied to predict postsplenectomy hemodynamic metrics.
Proceedings of the 45th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2023

A Prior-Guided Meta-Weight Network for Long-tailed Noisy Data in Item Categorization.
Proceedings of the IEEE International Conference on Big Data, 2023

Weighted Contrastive Learning With False Negative Control to Help Long-tailed Product Classification.
Proceedings of the The 61st Annual Meeting of the Association for Computational Linguistics: Industry Track, 2023

2022
An SCA and Relaxation Based Energy Efficiency Optimization for Multi-User RIS-Assisted NOMA Networks.
IEEE Trans. Veh. Technol., 2022

Clutter Edges Detection Algorithms for Structured Clutter Covariance Matrices.
IEEE Signal Process. Lett., 2022

BaPipe: Balanced Pipeline Parallelism for DNN Training.
Parallel Process. Lett., 2022

An improved spatial point cloud simplification algorithm.
Neural Comput. Appl., 2022

Modeling spatio-temporal patterns of holistic functional brain networks via multi-head guided attention graph neural networks (Multi-Head GAGNNs).
Medical Image Anal., 2022

Hard-style Selective Context Utilization for dialogue generation based on what user just said.
Knowl. Based Syst., 2022

G-NMP: Accelerating Graph Neural Networks with DIMM-based Near-Memory Processing.
J. Syst. Archit., 2022

EEG-based Emotion Recognition with Spatial and Functional Brain Mapping of CNS and PNS Signals.
CoRR, 2022

Internet-of-thing based real-time electrical market monitoring system design.
Comput. Electr. Eng., 2022

Fully-Digital Broadband Calibration-Less Impedance Monitor for Probe Insertion Detection against Power Analysis Attacks.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

Textbook Enhanced Student Learning Outcome Prediction.
Proceedings of the 2022 SIAM International Conference on Data Mining, 2022

Heterogeneous Information Enhanced Prerequisite Learning in Massive Open Online Courses.
Proceedings of the IEEE International Conference on Data Mining, 2022

Application Defined On-chip Networks for Heterogeneous Chiplets: An Implementation Perspective.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

Design and Test of Flexible Drill Pipe for Sidetracking Horizontal Well in Digital Oilfield.
Proceedings of the Digitalization and Management Innovation, 2022

Scale-Equivalent Distillation for Semi-Supervised Object Detection.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2022

Utilizing Contrastive Learning To Address Long Tail Issue in Product Categorization.
Proceedings of the 31st ACM International Conference on Information & Knowledge Management, 2022

An Event-triggered Distributed Observer for Leader-Following Consensus of Multiple Rigid Body Systems Over Switching Networks.
Proceedings of the 61st IEEE Conference on Decision and Control, 2022

2021
AI-Aided Online Adaptive OFDM Receiver: Design and Experimental Results.
IEEE Trans. Wirel. Commun., 2021

O3BNN-R: An Out-of-Order Architecture for High-Performance and Regularized BNN Inference.
IEEE Trans. Parallel Distributed Syst., 2021

Leader-Following Event-Triggered Adaptive Practical Consensus of Multiple Rigid Spacecraft Systems Over Jointly Connected Networks.
IEEE Trans. Neural Networks Learn. Syst., 2021

A Novel Model for Designing a Demand- Responsive Connector (DRC) Transit System With Consideration of Users' Preferred Time Windows.
IEEE Trans. Intell. Transp. Syst., 2021

Machine Learning-Based Pulse Wave Analysis for Early Detection of Abdominal Aortic Aneurysms Using In Silico Pulse Waves.
Symmetry, 2021

A hybrid precision low power computing-in-memory architecture for neural networks.
Microprocess. Microsystems, 2021

Design of a high-performance 12T SRAM cell for single event upset tolerance.
Sci. China Inf. Sci., 2021

Towards Learning Outcome Prediction via Modeling Question Explanations and Student Responses.
Proceedings of the 2021 SIAM International Conference on Data Mining, 2021

Explainable Multi-task Flight Arrival Delay Prediction.
Proceedings of the 24th IEEE International Intelligent Transportation Systems Conference, 2021

Robust Navigation for Racing Drones based on Imitation Learning and Modularization.
Proceedings of the IEEE International Conference on Robotics and Automation, 2021

Leader-following Event-triggered Practical Consensus with Disturbance Rejection of Multiple Uncertain Spacecraft Systems over Switching Networks.
Proceedings of the 2021 60th IEEE Conference on Decision and Control (CDC), 2021

Research on China's Primary Industry: Evidence From Regional Analysis Based on SVM and Moran's Index.
Proceedings of the 7th IEEE International Conference on Cloud Computing and Intelligent Systems, 2021

2020
Leader-Following Adaptive Consensus of Multiple Uncertain Rigid Body Systems over Jointly Connected Networks.
Unmanned Syst., 2020

FPDeep: Scalable Acceleration of CNN Training on Deeply-Pipelined FPGA Clusters.
IEEE Trans. Computers, 2020

Consensus of Multiple Spacecraft Systems Over Switching Networks by Attitude Feedback.
IEEE Trans. Aerosp. Electron. Syst., 2020

Analysis and improvement of image segmentation algorithm based on fuzzy edge compensation.
J. Intell. Fuzzy Syst., 2020

Trajectory tracking control for wheeled mobile robots based on nonlinear disturbance observer with extended Kalman filter.
J. Frankl. Inst., 2020

FPGA Implementation of A∗ Algorithm for Real-Time Path Planning.
Int. J. Reconfigurable Comput., 2020

BaPipe: Exploration of Balanced Pipeline Parallelism for DNN Training.
CoRR, 2020

AWB-GCN: A Graph Convolutional Network Accelerator with Runtime Workload Rebalancing.
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020

Does Lexical Retrieval Deteriorate in Patients with Mild Cognitive Impairment? Analysis of Brain Functional Network Will Tell.
Proceedings of the Interspeech 2020, 2020

FP-AMG: FPGA-Based Acceleration Framework for Algebraic Multigrid Solvers.
Proceedings of the 28th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2020

Relational Database Watermarking for Data Tracing.
Proceedings of the International Conference on Cyber-Enabled Distributed Computing and Knowledge Discovery, 2020

2019
Deep Learning-Based CSI Feedback Approach for Time-Varying Massive MIMO Channels.
IEEE Wirel. Commun. Lett., 2019

UWB-GCN: Hardware Acceleration of Graph-Convolution-Network through Runtime Workload Rebalancing.
CoRR, 2019

Improved Reinforcement Learning through Imitation Learning Pretraining Towards Image-based Autonomous Driving.
CoRR, 2019

Fully Integrated On-FPGA Molecular Dynamics Simulations.
CoRR, 2019

A Scalable Framework for Acceleration of CNN Training on Deeply-Pipelined FPGA Clusters with Weight and Workload Balancing.
CoRR, 2019

Single-event upset prediction in static random access memory cell account for parameter variations.
Sci. China Inf. Sci., 2019

Fully integrated FPGA molecular dynamics simulations.
Proceedings of the International Conference for High Performance Computing, 2019

BSTC: a novel binarized-soft-tensor-core design for accelerating bit-based approximated neural nets.
Proceedings of the International Conference for High Performance Computing, 2019

Towards the Speech Features of Early-Stage Dementia: Design and Application of the Mandarin Elderly Cognitive Speech Database.
Proceedings of the Interspeech 2019, 2019

Towards the Speech Features of Mild Cognitive Impairment: Universal Evidence from Structured and Unstructured Connected Speech of Chinese.
Proceedings of the Interspeech 2019, 2019

O3BNN: an out-of-order architecture for high-performance binarized neural network inference with fine-grained pruning.
Proceedings of the ACM International Conference on Supercomputing, 2019

Simulation of Proton Induced Single Event Upsets in Bulk Nano-CMOS SRAMs.
Proceedings of the International Conference on IC Design and Technology, 2019

FP-AMR: A Reconfigurable Fabric Framework for Adaptive Mesh Refinement Applications.
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019

Effect of Temporal Lobe Epilepsy on Auditory-motor Integration for Vocal Pitch Regulation: Evidence from Brain Functional Network Analysis.
Proceedings of the 41st Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2019

Deep Hierarchical Knowledge Tracing.
Proceedings of the 12th International Conference on Educational Data Mining, 2019

Improving Peer Assessment Accuracy by Incorporating Relative Peer Grades.
Proceedings of the 12th International Conference on Educational Data Mining, 2019

IProWA: A Novel Probabilistic Graphical Model for Crowdsourcing Aggregation.
Proceedings of the 2019 IEEE International Conference on Big Data (IEEE BigData), 2019

Molecular Dynamics Range-Limited Force Evaluation Optimized for FPGAs.
Proceedings of the 30th IEEE International Conference on Application-specific Systems, 2019

Accelerating AP3M-Based Computational Astrophysics Simulations with Reconfigurable Clusters.
Proceedings of the 30th IEEE International Conference on Application-specific Systems, 2019

LP-BNN: Ultra-low-Latency BNN Inference with Layer Parallelism.
Proceedings of the 30th IEEE International Conference on Application-specific Systems, 2019

Hybrid Convolutional Recurrent Neural Networks Outperform CNN and RNN in Task-state EEG Detection for Parkinson's Disease.
Proceedings of the 2019 Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, 2019

Inject Rubrics into Short Answer Grading System.
Proceedings of the 2nd Workshop on Deep Learning Approaches for Low-Resource NLP, 2019

2018
ANSYS is Used on Drilling Inner Blind Hole on Deep Hole.
Wirel. Pers. Commun., 2018

Design of Area-Efficient and Highly Reliable RHBD 10T Memory Cell for Aerospace Applications.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Real Time Analysis of Bioanalytes in Healthcare, Food, Zoology and Botany.
Sensors, 2018

一种支持完美隐私保护的批处理数据拥有性证明方案 (Perfect Privacy-preserving Batch Provable Data Possession).
计算机科学, 2018

RP-Ring: A Heterogeneous Multi-FPGA Accelerator.
Int. J. Reconfigurable Comput., 2018

Artificial Intelligence-aided OFDM Receiver: Design and Experimental Results.
CoRR, 2018

Global sensitivity analysis of hepatic venous pressure gradient (HVPG) measurement with a stochastic computational model of the hepatic circulation.
Comput. Biol. Medicine, 2018

Acoustic Features Associated with Sustained Vowel and Continuous Speech Productions by Chinese Children with Functional Articulation Disorders.
Proceedings of the Interspeech 2018, 2018

Soft-Core. Multiple-Lane, FPGA-based ADCs for a Liquid Helium Environment.
Proceedings of the 2018 IEEE High Performance Extreme Computing Conference, 2018

An Access-Pattern-Aware On-Chip Vector Memory System with Automatic Loading for SIMD Architectures.
Proceedings of the 2018 IEEE High Performance Extreme Computing Conference, 2018

A Framework for Acceleration of CNN Training on Deeply-Pipelined FPGA Clusters with Work and Weight Load Balancing.
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018

High Performance Dynamic Communication on Reconfigurable Clusters.
Proceedings of the 26th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2018

FPDeep: Acceleration and Load Balancing of CNN Training on FPGA Clusters.
Proceedings of the 26th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2018

Leveraging the Power of Informative Users for Local Event Detection.
Proceedings of the IEEE/ACM 2018 International Conference on Advances in Social Networks Analysis and Mining, 2018

An Android Malware Detection Method Based on Deep AutoEncoder.
Proceedings of the 2018 Artificial Intelligence and Cloud Computing Conference, 2018

2017
Novel Radiation-Hardened-by-Design (RHBD) 12T Memory Cell for Aerospace Applications in Nanoscale CMOS Technology.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Deep Learning for Wireless Physical Layer: Opportunities and Challenges.
CoRR, 2017

A 13T radiation-hardened memory cell for low-voltage operation and ultra-low power space applications.
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017

An Efficient Hardware Prefetcher Exploiting the Prefetch Potential of Long-Stride Access Pattern on Virtual Address.
Proceedings of the 2017 IEEE International Symposium on Parallel and Distributed Processing with Applications and 2017 IEEE International Conference on Ubiquitous Computing and Communications (ISPA/IUCC), 2017

Multicolor removal based on color lines and improved hough transform for SFS.
Proceedings of the 2017 IEEE International Conference on Image Processing, 2017

Sketch-Based Image Retrieval with Multiple Binary HoG Descriptor.
Proceedings of the Internet Multimedia Computing and Service, 2017

Study on relevance between electric wheelchair riding comfort and user exposure to whole-body vibration.
Proceedings of the IEEE 6th Global Conference on Consumer Electronics, 2017

How Quick Is QUIC in Satellite Networks.
Proceedings of the Communications, Signal Processing, and Systems, 2017

Low-cost resilient radiation hardened flip-flop design.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

A method to estimate cross-section of circuits at RTL levels.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

2016
An Accelerating Solution for N-Body MOND Simulation with FPGA-SoC.
Int. J. Reconfigurable Comput., 2016

FPGA acceleration of TreePM N-body simulations for Modified Newton Dynamics.
Proceedings of the 2016 International Conference on Field-Programmable Technology, 2016

an Extensible Heterogeneous Multi-FPGA Framework for Accelerating N-body Simulation (Abstract Only).
Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2016

An FPGA-SOC Based Accelerating Solution for N-body Simulations in MOND (Abstract Only).
Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2016

RP-Ring: A Heterogeneous Multi-FPGA Accelerating Solution for N-Body Simulations.
Proceedings of the 24th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2016

An Adaptive Weighted Degree Kernel to Predict the Splice Site.
Proceedings of the Biometric Recognition - 11th Chinese Conference, 2016

2015
Soft Error Hardened Memory Design for Nanoscale Complementary Metal Oxide Semiconductor Technology.
IEEE Trans. Reliab., 2015

Low cost and highly reliable radiation hardened latch design in 65 nm CMOS technology.
Microelectron. Reliab., 2015

Novel technique for P-hit single-event transient mitigation using enhance dummy transistor.
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015

Research on Adaptive Control Algorithm of Nano Positioning Stage.
Proceedings of the Harmony Search Algorithm, 2015

Design of a Distributed Compressor for Astronomy SSD.
Proceedings of the 23rd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2015

2014
A Multi-phase Clock Time-to-Digital Convertor Based on ISERDES Architecture.
Proceedings of the 22nd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2014

2013
Optimal Rate Allocation for Distributed Source Coding over Gaussian Multiple Access Channels.
IEEE Trans. Wirel. Commun., 2013

A Low Power Built-in Self-Test Scheme Based on Overlapping Bit Swapping Linear Feedback Shift Register.
J. Low Power Electron., 2013

2012
Maximizing Gathered Samples in Wireless Sensor Networks with Slepian-Wolf Coding.
IEEE Trans. Wirel. Commun., 2012

EM-Based Adaptive Frequency Domain Estimation of Doppler Shifts with CRLB Analysis for CDMA Systems.
IEEE Trans. Commun., 2012

Link energy minimization for wireless networks.
Ad Hoc Networks, 2012

2011
Optimizing physical-layer parameters for wireless sensor networks.
ACM Trans. Sens. Networks, 2011

Technological economic study for ocean energy development in China.
Proceedings of the 2011 IEEE International Conference on Industrial Engineering and Engineering Management (IEEM), 2011

Maximizing Sample Rate for Distributed Source Coding over Multiple Access Channels.
Proceedings of IEEE International Conference on Communications, 2011

2010
Link Energy Minimization in IR-UWB Based Wireless Networks.
IEEE Trans. Wirel. Commun., 2010

Maximizing the lifetime of clusters with Slepian-Wolf coding.
Proceedings of the IEEE International Conference on Acoustics, 2010

Maximization of Data Gathering in Clustered Wireless Sensor Networks.
Proceedings of the Global Communications Conference, 2010

2009
Minimizing Energy Consumption in IR-UWB Based Wireless Sensor Networks.
Proceedings of IEEE International Conference on Communications, 2009

2008
An Iterative Expectation-Maximization Algorithm Based Joint Estimation Approach for CDMA/OFDM Composite Radios.
IEEE Trans. Wirel. Commun., 2008

Minimization of transceiver energy consumption in wireless sensor networks with AWGN channels.
Proceedings of the 46th Annual Allerton Conference on Communication, 2008

2007
Basis Expansion Model and Doppler Diversity Techniques for Frequency Domain Channel Estimation and Equalization in DS-CDMA Systems.
Proceedings of IEEE International Conference on Communications, 2007

Frequency Domain Joint Estimation of Synchronization Parameter and Channel Impulse Response in Composite Radio Receiver.
Proceedings of IEEE International Conference on Communications, 2007

On Frequency Domain Doppler Diversity Using Basis Expansion Model and EM-Based Algorithms in CDMA Systems.
Proceedings of the Global Communications Conference, 2007

On Iterative EM-Based Frequency Domain Joint Estimation of Synchronization Parameter and Channel Impulse Response.
Proceedings of the Global Communications Conference, 2007

2006
An architecture to support scalable distributed virtual environment systems on grid.
J. Supercomput., 2006

Sample Rate Conversion Technology in Software Defined Radio.
Proceedings of the Canadian Conference on Electrical and Computer Engineering, 2006

2004
Gamelet: A Mobile Service Component for Building Multi-server Distributed Virtual Environment on Grid.
Proceedings of the Parallel and Distributed Processing and Applications, 2004

A Grid-enabled Multi-server Network Game Architecture.
Proceedings of the 3rd International Conference on Application and Development of Computer Games (ADCOG 2004) held on 26-27 April 2004 in City University of Hong Kong, 2004


  Loading...