Li Geng

Orcid: 0000-0003-4002-9281

According to our database1, Li Geng authored at least 112 papers between 2009 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Flexible and Efficient Convolutional Acceleration on Unified Hardware Using the Two-Stage Splitting Method and Layer-Adaptive Allocation of 1-D/2-D Winograd Units.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2024

A 0.8-5.8 GHz Ultra-Wideband Power Amplifier Based on Dynamic Renormalized References.
IEEE Trans. Circuits Syst. II Express Briefs, February, 2024

19.4 A 0.07 mm<sup>2</sup> 20-to-23.8GHz 8-phase Oscillator Incorporating Magnetic + Dual-Injection Coupling Achieving 189.2dBc/Hz FoM@10 MHz and 200.7dBc/Hz FoMA in 65nm CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2023
Design of mm-Wave Filtering Waveguide Twist With Quasi-Elliptic Response and Spurious Suppression Using 3-D Metal Printing.
IEEE Trans. Circuits Syst. II Express Briefs, December, 2023

A Real-Time and Efficient Optical Flow Tracking Accelerator on FPGA Platform.
IEEE Trans. Circuits Syst. I Regul. Pap., December, 2023

A High-Throughput and Flexible Architecture Based on a Reconfigurable Mixed-Radix FFT With Twiddle Factor Compression and Conflict-Free Access.
IEEE Trans. Very Large Scale Integr. Syst., October, 2023

An Efficient CNN Accelerator Achieving High PE Utilization Using a Dense-/Sparse-Aware Redundancy Reduction Method and Data-Index Decoupling Workflow.
IEEE Trans. Very Large Scale Integr. Syst., October, 2023

A Low-Power Sensing System Architecture With Mott Memristor and Time-to-Digital Converter for Large-Scale Sensor-Array Application.
IEEE Trans. Circuits Syst. II Express Briefs, October, 2023

A 3.57-mW 2.88-GHz Multi-Phase Injection-Locked Ring-VCO With a 200-kHz 1/f³ Phase Noise Corner.
IEEE Trans. Circuits Syst. II Express Briefs, March, 2023

Simplifying the design of harmonic-suppressing power amplifiers adopting capacitance-splitting method.
Int. J. Circuit Theory Appl., February, 2023

Correlation of Road Network Structure and Urban Mobility Intensity: An Exploratory Study Using Geo-Tagged Tweets.
ISPRS Int. J. Geo Inf., January, 2023

A CMOS slew-rate controlled output driver with low process, voltage and temperature variations using a dual-path signal-superposition technique.
IET Circuits Devices Syst., January, 2023

A Low-Power i-ToF LiDAR With Nonlinearity Self-Calibration Technique.
IEEE Trans. Instrum. Meas., 2023

A High Energy Efficiency Discrete-Time Σ Δ Modulator Based on Floating Inverter Amplifier.
Proceedings of the 66th IEEE International Midwest Symposium on Circuits and Systems, 2023

A 12bit 160MS/s Pipelined SAR ADC with a MOS Self-Biased Cascoded Ring Amplifier.
Proceedings of the IEEE International Conference on Integrated Circuits, 2023

A 28/56 Gb/s NRZ/PAM-4 Dual-Mode Transceiver in 28-nm CMOS.
Proceedings of the IEEE International Conference on Integrated Circuits, 2023

A 13.5-to-28.8GHz 72.3%-Locking Range Multi-Phase Injection-Locked Frequency Tripler with Improved Output Power and Wideband Subharmonic-Spur Rejection in 28nm CMOS.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023

2022
Temperature Compensation With Highly Integrated Ionization Sensor Array Used in Simultaneous Detection of Mixed Gases.
IEEE Trans. Ind. Electron., 2022

A 12-Bit 20-kS/s 640-nW SAR ADC With a VCDL-Based Open-Loop Time-Domain Comparator.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

Overcoming the Transimpedance Limit: A Tutorial on Design of Low-Noise TIA.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

3-D Printed mm-Wave Filter Using Increased-Height DGS Resonator for Spurious Suppression.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

Compact Dual-Band Wilkinson Power Divider Design Using Via-Free D-CRLH Resonators for Beidou Navigation Satellite System.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

RNA: A Flexible and Efficient Accelerator Based on Dynamically Reconfigurable Computing for Multiple Convolutional Neural Networks.
J. Circuits Syst. Comput., 2022

Influence of Self-efficacy Improvement on Online Learning Participation.
Int. J. Emerg. Technol. Learn., 2022

Theoretical total harmonic distortion evaluation based on digital to analogue converter mismatch to improve the linearity of successive approximation register analogue to digital converter.
IET Circuits Devices Syst., 2022

Multi-view clustering via robust consistent graph learning.
Digit. Signal Process., 2022

A 10.8 nJ/Detection ECG Processor Based on DWT and SVM for Real-Time Arrhythmia Detection.
Proceedings of the 65th IEEE International Midwest Symposium on Circuits and Systems, 2022

A 94.5% Peak Efficiency, 14mV Output Ripple SC-Buck Step-Up Converter with 1.2-to-5V Output Achieving 20.2% Enhanced Power Efficiency in New PMU Architecture for SoCs.
Proceedings of the 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2022

A Hardware Architecture of Feature Extraction for Real-Time Visual SLAM.
Proceedings of the IECON 2022, 2022

A 30W and 95% Efficiency Class-E Wireless Power Transfer Transmitter with Vector Algorithm Control.
Proceedings of the 2022 IEEE International Conference on Integrated Circuits, 2022

A 92.7% Peak Efficiency 48/1V DSD Power Converter with 102mV Droop and 1.6µs Settling Time for a 1A/10ns Load Transient.
Proceedings of the 2022 IEEE International Conference on Integrated Circuits, 2022

A Wideband and High Output Swing Analog Frontend Circuit for FMCW LiDAR.
Proceedings of the 2022 IEEE International Conference on Integrated Circuits, 2022

A 0.3 V-4 V Input Voltage Range, 0.7 V Cold Start Boost Converter with 1 V Internal Voltage Supply Generator by Using 0.18 µm CMOS Process for Energy Harvesting Application.
Proceedings of the 2022 IEEE International Conference on Integrated Circuits, 2022

A Cap-Less High PSR and Low Output Noise Low-Dropout Regulator for Cryogenic Applications.
Proceedings of the 2022 IEEE International Conference on Integrated Circuits, 2022

A Single-input Dual-output Three-level Buck Converter for SoC Applications.
Proceedings of the 2022 IEEE International Conference on Integrated Circuits, 2022

A 10/2.5-Gb/s Hyper-Supplied CMOS Low-Noise Burst-Mode TIA with Loud Burst Protection and Gearbox Automatic Offset Cancellation for XGS-PON.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

Gait Recognition with Various Data Modalities: A Review.
Proceedings of the Biometric Recognition - 16th Chinese Conference, 2022

2021
A 10-bit 20-MS/s SAR DAC achieving 57.9-dB SNDR using insensitive geometry DAC array.
Microelectron. J., 2021

A 0.025% DC Current Mismatch Charge Pump for PLL Applications.
Proceedings of the 64th IEEE International Midwest Symposium on Circuits and Systems, 2021

A 98.1-dB SNDR 188-dB FoMS Noise-Shaping SAR ADC Using Series Connection Capacitors.
Proceedings of the 64th IEEE International Midwest Symposium on Circuits and Systems, 2021

A Low-Noise Stacked Differential Optical Receiver in 0.18-μm CMOS.
Proceedings of the 64th IEEE International Midwest Symposium on Circuits and Systems, 2021

Session 17 Overview: DC-DC Converters Power Management Subcommittee.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

A 320×240 I-ToF CMOS Image Sensor with 2-Tap 5.6µm Pixel and Mismatch-Nonlinearity Suppression.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A 12-Bit 100MS/s SAR ADC with Digital Error Correction and High-Speed LMS-Based Background Calibration.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A 100GBaud Optical Receiver Front-End in 90nm SiGe BiCMOS.
Proceedings of the 2021 IEEE International Conference on Integrated Circuits, 2021

Resonant Gate Driver for High Speed GaN HMET with dV/dt Control.
Proceedings of the 2021 IEEE International Conference on Integrated Circuits, 2021

A 47K Noise Temperature CMOS S-band LNA for Cryogenic Applications.
Proceedings of the 2021 IEEE International Conference on Integrated Circuits, 2021

A High Power Supply Rejection and Low Noise Low-Dropout Regulator for Voltage Controlled Oscillator.
Proceedings of the 2021 IEEE International Conference on Integrated Circuits, 2021

A 20~20 KHz Active Rectifier with Adaptive Delay Time Control and 1000X Frequency Detecting Techniques for Acoustic Wave Energy Harvesting.
Proceedings of the 2021 IEEE International Conference on Integrated Circuits, 2021

A 1.2 ppm/°C TC, 0.094% Inaccuracy 3-18 V Supply-Range Bandgap Reference with Self-Compensation.
Proceedings of the 2021 IEEE International Conference on Integrated Circuits, 2021

A Dipole Antenna with 2-Stage Cross-Coupled Active RBR for 2.45 GHz Energy Harvesting Application.
Proceedings of the 2021 IEEE International Conference on Integrated Circuits, 2021

Design of Ship Engine Speed System Based on RBF Neural Network PID Control.
Proceedings of the EITCE 2021: 5th International Conference on Electronic Information Technology and Computer Engineering, Xiamen, China, October 22, 2021

2020
Low-Supply Sensitivity LC VCOs With Complementary Varactors.
IEEE Trans. Very Large Scale Integr. Syst., 2020

MIRE: A Multitone Identification and Replacement Method for Multitone Spectral Test Without Requiring Coherent Sampling.
IEEE Trans. Instrum. Meas., 2020

A 100 MHz, 0.8-to-1.1 V, 170 mA Digital LDO With 8-Cycles Mean Settling Time and 9-Bit Regulating Resolution in 180-nm CMOS.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

A Stride-Based Convolution Decomposition Method to Stretch CNN Acceleration Algorithms for Efficient and Flexible Hardware Implementation.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020

83.9% Efficiency 100-mV Self-Startup Boost Converter for Thermoelectric Energy Harvester in IoT Applications.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

An Open Loop Digitally Controlled Hybrid Supply Modulator Achieving High Efficiency for Envelope Tracking With Baseband up to 200-MHz.
IEEE Trans. Circuits Syst., 2020

A Fractional-<i>N</i> PLL With Space-Time Averaging for Quantization Noise Reduction.
IEEE J. Solid State Circuits, 2020

A 112-Gb/s PAM-4 Linear Optical Receiver in 130-nm SiGe BiCMOS.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

An Open-loop Digitally Controlled Supply Modulator for Wideband Envelope Tracking.
Proceedings of the 46th Annual Conference of the IEEE Industrial Electronics Society, 2020

A 100mV Input Voltage, Multi-step Self-Startup Boost Converter Achieving 50X Voltage Step-up with Standard 0.18 μm CMOS Process for Energy Harvesting application.
Proceedings of the 2020 IEEE International Conference on Integrated Circuits, 2020

Design of Improved KY Converter with Low Power ZCD Circuit for Wide Load Current Application.
Proceedings of the 2020 IEEE International Conference on Integrated Circuits, 2020

A Full-duplex Transceiver with Integrated Active Quasi-circulator Achieving Self-interference RF Cancellation over Wide Bandwidth.
Proceedings of the 2020 IEEE International Conference on Integrated Circuits, 2020

A 100-Gb/s PAM-4 CTLE in 28-nm CMOS with Coarse-Fine Gain Adjustment.
Proceedings of the 2020 IEEE International Conference on Integrated Circuits, 2020

A 16Gb/s Triple-Mode Driver in 0.18μm CMOS Technology.
Proceedings of the 2020 IEEE International Conference on Integrated Circuits, 2020

Real Time ECG Classification System Based on DWT and SVM.
Proceedings of the 2020 IEEE International Conference on Integrated Circuits, 2020

CRP: Context-directed Replacement Policy to Improve Cache Performance for Coarse-Grained Reconfigurable Arrays.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

A Power Efficient ECG Front-End with Input-Adaptive Gain Reaching 67.6-dB Dynamic Range.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2020

A Two-step SAR ADC with Synchronous DEM Calibration Achieving Up to 15% Power Reduction.
Proceedings of the 2020 IEEE Asia Pacific Conference on Circuits and Systems, 2020

2019
WRA: A 2.2-to-6.3 TOPS Highly Unified Dynamically Reconfigurable Accelerator Using a Novel Winograd Decomposition Algorithm for Convolutional Neural Networks.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Low-Noise Broadband CMOS TIA Based on Multi-Stage Stagger-Tuned Amplifier for High-Speed High-Sensitivity Optical Communication.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A 13.56 MHz, 94.1% Peak Efficiency CMOS Active Rectifier With Adaptive Delay Time Control for Wireless Power Transmission Systems.
IEEE J. Solid State Circuits, 2019

An Accurate and Efficient Method for Eliminating the Requirement of Coherent Sampling in Multi-Tone Test.
Proceedings of the 37th IEEE VLSI Test Symposium, 2019

A Stacked 4×25 Gb/s Optical Receiver in 28 nm CMOS with 0.154 mW/Gb/s Power Efficiency.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

High-Voltage Driver for Fully-Integrated Piezoelectric Inkjet Printhead Module.
Proceedings of the International Conference on IC Design and Technology, 2019

Active Rectifiers in Wireless Power Transmission Systems.
Proceedings of the International Conference on IC Design and Technology, 2019

CCP: Configuration Context based Prefetching to Improve Coarse-Grained Reconfigurable Array Performance.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

A 2.4-GHz ΔΣ Fractional-N Synthesizer with Space-Time Averaging for Noise Reduction.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2019

A Multi-Channel 1.52 µVrms Front End with Orthogonal Frequency Chopping for Neural Recording Applications.
Proceedings of the 2019 IEEE Asia Pacific Conference on Circuits and Systems, 2019

Research on Artificial Intelligence Visualization Application under Internet of Things Big Data.
Proceedings of the International Conference on Artificial Intelligence and Advanced Manufacturing, 2019

2018
Passive Noise Shaping in SAR ADC With Improved Efficiency.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Characterizing peer-judged answer quality on academic Q&A sites: A cross-disciplinary case study on ResearchGate.
Aslib J. Inf. Manag., 2018

A 77dB SNDR 12.5MHz Bandwidth 0-1 MASH ∑Δ ADC Based on the Pipelined-SAR Structure.
Proceedings of the 2018 IEEE Symposium on VLSI Circuits, 2018

FORECAST-CLSTM: A New Convolutional LSTM Network for Cloudage Nowcasting.
Proceedings of the IEEE Visual Communications and Image Processing, 2018

Design of A Low-Supply Sensitivity LC VCO with Complementary Varactors.
Proceedings of the IEEE 61st International Midwest Symposium on Circuits and Systems, 2018

A Dual-Path Open-Loop CMOS Slew-Rate Controlled Output Driver with low PVT Variation.
Proceedings of the IEEE 61st International Midwest Symposium on Circuits and Systems, 2018

A Near-Zero-Power Temperature Sensor with ±0.24 °C Inaccuracy Using Only Standard CMOS Transistors for IoT Applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Low-Noise High-Linearity 56Gb/s PAM-4 Optical Receiver in 45nm SOI CMOS.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Exploring Resource-Aware Deep Neural Network Accelerator and Architecture Design.
Proceedings of the 23rd IEEE International Conference on Digital Signal Processing, 2018

Single-stage dual-output AC-DC converter for wireless power transmission.
Proceedings of the 2018 IEEE Custom Integrated Circuits Conference, 2018

2017
Theoretical Model of E<sup>n</sup>DP to Achieve Energy-Efficient SRAM.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

An Intelligent Building Occupancy Detection System Based on Sparse Auto-Encoder.
Proceedings of the 2017 IEEE Winter Applications of Computer Vision Workshops, 2017

Soft Biometrics in Online Social Networks: A Case Study on Twitter User Gender Recognition.
Proceedings of the 2017 IEEE Winter Applications of Computer Vision Workshops, 2017

A delay time controlled active rectifier with 95.3% peak efficiency for wireless power transmission systems.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

An ultra-low quiescent current power management ASIC with MPPT for vibrational energy harvesting.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

An auxiliary switched-capacitor power converter (SCPC) applied in stacked digital architecture for energy utilization enhancement.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

2016
A 0.6-V 10-bit 200-kS/s Fully Differential SAR ADC With Incremental Converting Algorithm for Energy Efficient Applications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

A 25Gb/s low-noise optical receiver in 0.13 μm SiGe BiCMOS.
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016

2015
Real-time self-tracking in the Internet of Things.
Proceedings of the 2015 IEEE International Conference on Acoustics, 2015

2014
Area-Efficient On-Chip DC-DC Converter With Multiple-Output for Bio-Medical Applications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

Indoor Tracking With RFID Systems.
IEEE J. Sel. Top. Signal Process., 2014

A 0.2 V-1.8 V 8T SRAM with Bit-interleaving Capability.
IEICE Electron. Express, 2014

2013
Literature review on the creativity of CBR applications.
Artif. Intell. Rev., 2013

Minimized start-up transient and initial inrush current of boost converter for LED lighting.
Proceedings of the 22nd IEEE International Symposium on Industrial Electronics, 2013

Tracking with RFID asynchronous measurements by particle filtering.
Proceedings of the IEEE International Conference on Acoustics, 2013

Tracking with asynchronous binary readings and layout information in RFID systems with sense-a-tags.
Proceedings of the 21st European Signal Processing Conference, 2013

2012
A novel zero-current-detector for DCM operation in synchronous converter.
Proceedings of the 21st IEEE International Symposium on Industrial Electronics, 2012

Real time indoor tracking of tagged objects with a network of RFID readers.
Proceedings of the 20th European Signal Processing Conference, 2012

2011
Non-centralized target tracking in networks of directional sensors: Further advances.
Proceedings of the 4th IEEE International Workshop on Computational Advances in Multi-Sensor Adaptive Processing, 2011

2010
Design and implementation of a mixed-signal Boost converter with a novel multi-phase clock DPWM.
IEICE Electron. Express, 2010

2009
A dynamic buck converter with ultra fast response and low voltage ripples designed for DVS systems.
IEICE Electron. Express, 2009


  Loading...