Patrick Chiang

Affiliations:
  • Fudan University, School of Microelectronics, Shanghai, China


According to our database1, Patrick Chiang authored at least 128 papers between 2000 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
An Angle-Insensitive 138-dB Dynamic Range Light Sensor With 4 Time-Interleaved Channels 32-ppm/°C Temperature-Independent Flicker Detection.
IEEE Trans. Circuits Syst. II Express Briefs, February, 2024

7.5 A 224Gb/s/wire Single-Ended PAM-4 Transceiver Front-End with 29dB Equalization for 800GbE/1.6TbE.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2023
A 256 × 256 LiDAR Imaging System Based on a 200 mW SPAD-Based SoC with Microlens Array and Lightweight RGB-Guided Depth Completion Neural Network.
Sensors, August, 2023

A 40nm 2TOPS/W Depth-Completion Neural Network Accelerator SoC With Efficient Depth Engine for Realtime LiDAR Systems.
IEEE Trans. Circuits Syst. II Express Briefs, May, 2023

A dToF Ranging Sensor with Accurate Photon Detector Measurements for LiDAR Applications.
Sensors, March, 2023

Solid-State dToF LiDAR System Using an Eight-Channel Addressable, 20-W/Ch Transmitter, and a 128 × 128 SPAD Receiver With SNR-Based Pixel Binning and Resolution Upscaling.
IEEE J. Solid State Circuits, March, 2023

A 200 MHz 14 W Pulsed Optical Illuminator With Laser Driver ASIC and On-Chip DLL-Based Time Interpolator for Indirect Time-of-Flight Applications.
IEEE Trans. Circuits Syst. II Express Briefs, February, 2023

Multi-Scale Histogram-Based Probabilistic Deep Neural Network for Super-Resolution 3D LiDAR Imaging.
Sensors, 2023

FastPillars: A Deployment-friendly Pillar-based 3D Detector.
CoRR, 2023

A Fully Integrated dToF System-on-Chip with High Precision Using Adaptive Optical Power Control and Shifted Histogram-Bin Binning.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

A 2KSPS 123dB Dynamic-Range SPAD-based Optical Sensor SoC with On-chip Auto-Gain-Control and FFT Processor for 100 μlux Light Illuminance and Flicker Detection.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

An Angle-Insensitive Time-Interleaved 4-Channels 138dB Dynamic Range Light Sensor with Flicker Detection for Smart Lighting Application.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

A 2GHz On-Chip-Oscilloscope with High Accuracy Pulse Width Detection for Auto-Peak-Power Controller & Peak-Current Detector in Voltage-Mode DToF Driver.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

A Sub-ns Pulsed VCSEL Driver with Real-Time Adaptive Current Control, Integrated Boost Switching Regulator and Class-1 Laser Eye Safety.
Proceedings of the 49th IEEE European Solid State Circuits Conference, 2023

dToF LIDAR System Using Addressable Multi-Channel VCSEL Transmitter, 128x80 SPAD Sensor, and ML-Based Object Detection for Adaptive Beam-Steering.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023

2022
An 8-A Sub-1ns Pulsed VCSEL Driver IC With Built-In Pulse Monitor and Automatic Peak Current Control for Direct Time-of-Flight Applications.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

A 56-Gb/s Reconfigurable Silicon-Photonics Transmitter Using High-Swing Distributed Driver and 2-Tap In-Segment Feed-Forward Equalizer in 65-nm CMOS.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

An Integrated 200MHz 4A Pulsed Laser Driver with DLL-Based Time Interpolator for Indirect Time-of-Flight Applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Solid-State dToF LiDAR System Using an Eight-Channel Addressable, 20W/Ch Transmitter, and a 128x128 SPAD Receiver with SNR-Based Pixel Binning and Resolution Upscaling.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

2021
A 4 × 10 Gb/s Adaptive Optical Receiver Utilizing Current-Reuse and Crosstalk-Remove.
IEEE Trans. Very Large Scale Integr. Syst., 2021

An integrated 8A pulsed VCSEL array driver under 12V supply with built-in pulse monitor and automatic peak current control for direct time-of-flight applications.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2021

Energy-aware Retinaface: A Power Efficient Edge-Computing SOC for Face Detector in 40nm.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

2020
A 50-Gb/s PAM4 Si-Photonic Transmitter With Digital-Assisted Distributed Driver and Integrated CDR in 40-nm CMOS.
IEEE J. Solid State Circuits, 2020

PAM-X™: A 25Gb/s-PAM4 Optical Transceiver Chipset for 5G Optical Front-Haul.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2020

Anti-Gan: Discriminating 3D reconstructed and real faces for robust facial Identity in Anti-spoofing Generator Adversarial Network.
Proceedings of the IEEE International Symposium on Signal Processing and Information Technology, 2020

A 112-Gb/s PAM-4 Linear Optical Receiver in 130-nm SiGe BiCMOS.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

A 1.575GHz, 1.63mW CMOS Injection-Locked Ring Oscillator Powered by FBAR-Based PLL Reference.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

FotonNet: A Hardware-efficient Object Detection System using 3D-depth Segmentation and 2D-deep Neural Network Classifier.
Proceedings of the 9th International Conference on Pattern Recognition Applications and Methods, 2020

2019
A Fully Integrated 25 Gb/s Low-Noise TIA+CDR Optical Receiver Designed in 40-nm-CMOS.
IEEE Trans. Circuits Syst. II Express Briefs, 2019

Low-Noise Broadband CMOS TIA Based on Multi-Stage Stagger-Tuned Amplifier for High-Speed High-Sensitivity Optical Communication.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A 50Gb/s PAM-4 Retimer-CDR + VCSEL Driver with Asymmetric Pulsed Pre-Emphasis Integrated into a Single CMOS Die.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2019

Deep Temporal Filter: An LSTM based approach to filter noise from TDC based SPAD Receiver.
Proceedings of the IEEE International Symposium on Signal Processing and Information Technology, 2019

2018
FotonNet: A HW-Efficient Object Detection System Using 3D-Depth Segmentation and 2D-DNN Classifier.
CoRR, 2018

A 50Gb/s-PAM4 CDR with On-Chip Eye Opening Monitor for Reference-Level and Clock-Sampling Adaptation.
Proceedings of the Optical Fiber Communications Conference and Exposition, 2018

A Fully-Integrated 25Gb/s Low-Noise TIA+CDR Optical Receiver designed in 40nm-CMOS.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2018

2017
A Mobile-Based High Sensitivity On-Field Organophosphorus Compounds Detecting System for IoT-Based Food Safety Tracking.
J. Sensors, 2017

A 51Gb/s, 320mW, PAM4 CDR with baud-rate sampling for high-speed optical interconnects.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2017

2016
A Robust Energy/Area-Efficient Forwarded-Clock Receiver With All-Digital Clock and Data Recovery in 28-nm CMOS for High-Density Interconnects.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Short-Range Low-Data-Rate FM-UWB Transceivers: Overview, Analysis, and Design.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

A 25 Gb/s Hybrid-Integrated Silicon Photonic Source-Synchronous Receiver With Microring Wavelength Stabilization.
IEEE J. Solid State Circuits, 2016

Introduction to the Special Section on the 2015 Custom Integrated Circuits Conference.
IEEE J. Solid State Circuits, 2016

Architecture of a Reusable BIST Engine for Detection and Autocorrection of Memory Failures and for IO Debug, Validation, Link Training, and Power Optimization on 14-nm SoC.
IEEE Des. Test, 2016

Area-constrained wirelessly-powered UWB SoC design for small insect localization.
Proceedings of the IEEE Topical Conference on Wireless Sensors and Sensor Networks, 2016

A 32Gb/s NRZ, 25GBaud/s PAM4 reconfigurable, Si-Photonic MZM transmitter in CMOS.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2016

21.6 A 1.2cm2 2.4GHz self-oscillating rectifier-antenna achieving -34.5dBm sensitivity for wirelessly powered sensors.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

A Framework for Compressive-Sensing of 3D Point Clouds.
Proceedings of the 12th International Conference on Computational Intelligence and Security, 2016

2015
Guest Editorial: Special Section on the 2014 IEEE Custom Integrated Circuits Conference (CICC 2014).
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

A 25 Gb/s, 4.4 V-Swing, AC-Coupled Ring Modulator-Based WDM Transmitter with Wavelength Stabilization in 65 nm CMOS.
IEEE J. Solid State Circuits, 2015

A Micro-Power Two-Step Incremental Analog-to-Digital Converter.
IEEE J. Solid State Circuits, 2015

25Gb/s hybrid-integrated silicon photonic receiver with microring wavelength stabilization.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2015

A 25Gb/s, 520mW, 6.4Vpp Silicon-Photonic Mach-Zehnder Modulator with distributed driver in CMOS.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2015

Energy efficiency comparisons of NRZ and PAM4 modulation for ring-resonator-based silicon photonic links.
Proceedings of the IEEE 58th International Midwest Symposium on Circuits and Systems, 2015

Platform IO and system memory test using L3 cache based test (CBT) and parallel execution of CPGC Intel BIST engine.
Proceedings of the 2015 IEEE International Test Conference, 2015

22.4 A 24Gb/s 0.71pJ/b Si-photonic source-synchronous receiver with adaptive equalization and microring wavelength stabilization.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

22.6 A 25Gb/s 4.4V-swing AC-coupled Si-photonic microring transmitter with 2-tap asymmetric FFE and dynamic thermal tuning in 65nm CMOS.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

A compressed-sensing sensor-on-chip incorporating statistics collection to improve reconstruction performance.
Proceedings of the 2015 IEEE Custom Integrated Circuits Conference, 2015

Rate-adaptive compressed-sensing and sparsity variance of biomedical signals.
Proceedings of the 12th IEEE International Conference on Wearable and Implantable Body Sensor Networks, 2015

A 20 μW dual-channel analog front-end in 65nm CMOS for portable ECG monitoring system.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

2014
0.56 V, -20 dBm RF-Powered, Multi-Node Wireless Body Area Network System-on-a-Chip With Harvesting-Efficiency Tracking Loop.
IEEE J. Solid State Circuits, 2014

An 8-16 Gb/s, 0.65-1.05 pJ/b, Voltage-Mode Transmitter With Analog Impedance Modulation Equalization and Sub-3 ns Power-State Transitioning.
IEEE J. Solid State Circuits, 2014

Silicon Photonic Transceiver Circuits With Microring Resonator Bias-Based Wavelength Stabilization in 65 nm CMOS.
IEEE J. Solid State Circuits, 2014

A Low-Power, Low-Voltage WBAN-Compatible Sub-Sampling PSK Receiver in 65 nm CMOS.
IEEE J. Solid State Circuits, 2014

A 0.8V, 560fJ/bit, 14Gb/s injection-locked receiver with input duty-cycle distortion tolerable edge-rotating 5/4X sub-rate CDR in 65nm CMOS.
Proceedings of the Symposium on VLSI Circuits, 2014

A reusable BIST with software assisted repair technology for improved memory and IO debug, validation and test time.
Proceedings of the 2014 International Test Conference, 2014

26.5 An 8-to-16Gb/s 0.65-to-1.05pJ/b 2-tap impedance-modulated voltage-mode transmitter with fast power-state transitioning in 65nm CMOS.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

9.6 A 1.3mW 0.6V WBAN-compatible sub-sampling PSK receiver in 65nm CMOS.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

2.5 A 0.25pJ/b 0.7V 16Gb/s 3-tap decision-feedback equalizer in 65nm CMOS.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

A 41-mW 30-Gb/s CMOS optical receiver with digitally-tunable cascaded equalization.
Proceedings of the ESSCIRC 2014, 2014

A piezoelectric energy-harvesting shoe system for podiatric sensing.
Proceedings of the 36th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2014

Characterization of radiation-induced SRAM and logic soft errors from 0.33V to 1.0V in 65nm CMOS.
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, 2014

Energy-efficient bio-sensing systems.
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, 2014

A 11μW 250 Hz BW two-step incremental ADC with 100 dB DR and 91 dB SNDR for integrated sensor interfaces.
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, 2014

2013
SWIFT: A Low-Power Network-On-Chip Implementing the Token Flow Control Router Architecture With Swing-Reduced Interconnects.
IEEE Trans. Very Large Scale Integr. Syst., 2013

A Near-Threshold, 0.16 nJ/b OOK-Transmitter With 0.18 nJ/b Noise-Cancelling Super-Regenerative Receiver for the Medical Implant Communications Service.
IEEE Trans. Biomed. Circuits Syst., 2013

A 0.47-0.66 pJ/bit, 4.8-8 Gb/s I/O Transceiver in 65 nm CMOS.
IEEE J. Solid State Circuits, 2013

Comparison of hardware based and software based stress testing of memory IO interface.
Proceedings of the IEEE 56th International Midwest Symposium on Circuits and Systems, 2013

A ring-resonator-based silicon photonics transceiver with bias-based wavelength stabilization and adaptive-power-sensitivity receiver.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

High-sensitivity photodetection sensor front-end, detecting organophosphourous compounds for food safety.
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, 2013

A 1.2 pJ/b 6.4 Gb/s 8+1-lane forwarded-clock receiver with PVT-variation-tolerant all-digital clock and data recovery in 28nm CMOS.
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, 2013

2012
Area-Efficient Antenna-Scalable MIMO Detector for K-best Sphere Decoding.
J. Signal Process. Syst., 2012

A Comparative Study of 20-Gb/s NRZ and Duobinary Signaling Using Statistical Analysis.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Power-Scalable, Complex Bandpass/Low-Pass Filter With I/Q Imbalance Calibration for a Multimode GNSS Receiver.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

Sub-2-ps, Static Phase Error Calibration Technique Incorporating Measurement Uncertainty Cancellation for Multi-Gigahertz Time-Interleaved T/H Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., 2012

A Dual-Channel Compass/GPS/GLONASS/Galileo Reconfigurable GNSS Receiver in 65 nm CMOS With On-Chip I/Q Calibration.
IEEE Trans. Circuits Syst. I Regul. Pap., 2012

A Single-Channel, 1.25-GS/s, 6-bit, 6.08-mW Asynchronous Successive-Approximation ADC With Improved Feedback Delay in 40-nm CMOS.
IEEE J. Solid State Circuits, 2012

0.16-0.25 pJ/bit, 8 Gb/s Near-Threshold Serial Link Receiver With Super-Harmonic Injection-Locking.
IEEE J. Solid State Circuits, 2012

A low-power, capacitively-divided, ring oscillator with digitally adjustable voltage swing.
Proceedings of Technical Program of 2012 VLSI Design, Automation and Test, 2012

Innovative approach to server performance and power monitoring in data centers using wireless sensors (invited paper).
Proceedings of the 2012 IEEE Radio and Wireless Symposium, 2012

A 530mV 10-lane SIMD processor with variation resiliency in 45nm SOI.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

Register file write data gating techniques and break-even analysis model.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

A low-leakage dynamic register file with unclocked wordline and sub-segmentation for improved bitline scalability.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

A 12-bit 7 µW/channel 1 kHz/channel incremental ADC for biosensor interface circuits.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

Lane decoupling for improving the timing-error resiliency of wide-SIMD architectures.
Proceedings of the 39th International Symposium on Computer Architecture (ISCA 2012), 2012

Location and activity tracking with the cloud.
Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2012

125Mbps ultra-wideband system evaluation for cortical implant devices.
Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2012

Regaining throughput using completion detection for error-resilient, near-threshold logic.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

A near-threshold, multi-node, wireless body area sensor network powered by RF energy harvesting.
Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, 2012

2011
Sinusoidal Clock Sampling for Multigigahertz ADCs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2011

A 90 nm-CMOS, 500 Mbps, 3-5 GHz Fully-Integrated IR-UWB Transceiver With Multipath Equalization Using Pulse Injection-Locking for Receiver Phase Synchronization.
IEEE J. Solid State Circuits, 2011

Receiver Jitter Tracking Characteristics in High-Speed Source Synchronous Links.
J. Electr. Comput. Eng., 2011

100-phase, dual-loop delay-locked loop for impulse radio ultra-wideband coherent receiver synchronisation.
IET Circuits Devices Syst., 2011

Experimental Characterization of a UWB Channel for Body Area Networks.
EURASIP J. Wirel. Commun. Netw., 2011

Network coding in multicore processors.
Proceedings of the 30th IEEE International Performance Computing and Communications Conference, 2011

Design automation methodology for improving the variability of synthesized digital circuits operating in the sub/near-threshold regime.
Proceedings of the 2011 International Green Computing Conference and Workshops, 2011

Indoor localization using pedestrian dead reckoning updated with RFID-based fiducials.
Proceedings of the 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2011

OLAM: A wearable, non-contact sensor for continuous heart-rate and activity monitoring.
Proceedings of the 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2011

An energy-efficient 64-QAM MIMO detector for emerging wireless standards.
Proceedings of the Design, Automation and Test in Europe, 2011

Energy-efficient transceiver circuits for short-range on-chip interconnects.
Proceedings of the 2011 IEEE Custom Integrated Circuits Conference, 2011

Low-power 8Gb/s near-threshold serial link receivers using super-harmonic injection locking in 65nm CMOS.
Proceedings of the 2011 IEEE Custom Integrated Circuits Conference, 2011

All-digital 3-50 GHz ultra-wideband pulse generator for short-range wireless interconnect in 40nm CMOS.
Proceedings of the 2011 IEEE Custom Integrated Circuits Conference, 2011

2010
Chaotic Pulse-Position Baseband Modulation for an Ultra-Wideband Transceiver in CMOS.
IEEE Trans. Circuits Syst. II Express Briefs, 2010

A 0.6 mW/Gb/s, 6.4-7.2 Gb/s Serial Link Receiver Using Local Injection-Locked Ring Oscillators in 90 nm CMOS.
IEEE J. Solid State Circuits, 2010

Short-Range, Wireless Interconnect within a Computing Chassis: Design Challenges.
IEEE Des. Test Comput., 2010

Synctium: a Near-Threshold Stream Processor for Energy-Constrained Parallel Applications.
IEEE Comput. Archit. Lett., 2010

SWIFT: A SWing-reduced interconnect for a Token-based Network-on-Chip in 90nm CMOS.
Proceedings of the 28th International Conference on Computer Design, 2010

Single-channel, 1.25-GS/s, 6-bit, loop-unrolled asynchronous SAR-ADC in 40nm-CMOS.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2010

2009
A Fast-Settling Wideband-IF ASK Baseband Circuit for a Wireless Endoscope Capsule.
IEEE Trans. Circuits Syst. II Express Briefs, 2009

A 0.18-muhboxm CMOS GFSK Analog Front End Using a Bessel-Based Quadrature Discriminator With On-Chip Automatic Tuning.
IEEE Trans. Circuits Syst. I Regul. Pap., 2009

Express Virtual Channels with Capacitively Driven Global Links.
IEEE Micro, 2009

Measuring and Compensating for Process Mismatch-induced, Reference Spurs in Phase-locked Loops using a Sub-sampled DSP.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

Sense Amplifier Power and Delay Characterization for Operation under Low-Vdd and Low-voltage Clock Swing.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

Comparison of On-die Global Clock Distribution Methods for Parallel Serial Links.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

A 10Gb/s Wire-line Transceiver with Half Rate Period Calibration CDR.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

2008
NoC with Near-Ideal Express Virtual Channels Using Global-Line Communication.
Proceedings of the 16th Annual IEEE Symposium on High Performance Interconnects (HOTI 2008), 2008

2007
Process Variation Compensation of a 2.4GHz LNA in 0.18um CMOS Using Digitally Switchable Capacitance.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

2005
A 20-Gb/s 0.13-μm CMOS serial link transmitter using an LC-PLL to directly drive the output multiplexer.
IEEE J. Solid State Circuits, 2005

2001
Monolithic chaotic communications system.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

2000
Low-power area-efficient high-speed I/O circuit techniques.
IEEE J. Solid State Circuits, 2000


  Loading...