Wen-Ben Jone

Affiliations:
  • University of Cincinnati, USA


According to our database1, Wen-Ben Jone authored at least 112 papers between 1989 and 2018.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2018
SERA: statistical error rate analysis for profit-oriented performance binning of resilient circuits.
Integr., 2018

2017
Leak Stopper: An Actively Revitalized Snoop Filter Architecture with Effective Generation Control.
ACM Trans. Design Autom. Electr. Syst., 2017

2016
High-Performance Deadlock-Free ID Assignment for Advanced Interconnect Protocols.
IEEE Trans. Very Large Scale Integr. Syst., 2016

2015
Soft-Error-Tolerant Design Methodology for Balancing Performance, Power, and Reliability.
IEEE Trans. Very Large Scale Integr. Syst., 2015

On Resilient System Performance Binning.
Proceedings of the 2015 Symposium on International Symposium on Physical Design, ISPD 2015, Monterey, CA, USA, March 29, 2015

2014
On macro-fault: a new fault model, its implications on fault tolerance and manufacturing yield.
Proceedings of the Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21, 2014

2013
Testing of Synchronizers in Asynchronous FIFO.
J. Electron. Test., 2013

A configurable bus-tracer for error reproduction in post-silicon validation.
Proceedings of the 2013 International Symposium on VLSI Design, Automation, and Test, 2013

Path delay testing in resilient system.
Proceedings of the IEEE 56th International Midwest Symposium on Circuits and Systems, 2013

A cross-layer fault-tolerant design method for high manufacturing yield and system reliability.
Proceedings of the 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2013

On testing timing-speculative circuits.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Post-placement voltage island generation for timing-speculative circuits.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
Launch-on-Shift Test Generation for Testing Scan Designs Containing Synchronous and Asynchronous Clock Domains.
ACM Trans. Design Autom. Electr. Syst., 2012

IMITATOR: A deterministic multicore replay system with refining techniques.
Proceedings of Technical Program of 2012 VLSI Design, Automation and Test, 2012

2011
Dynamic Characteristics of Power Gating During Mode Transition.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Aggressive Runtime Leakage Control Through Adaptive Light-Weight V<sub>th</sub> Hopping With Temperature and Process Variation.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Location Cache Design and Performance Analysis for Chip Multiprocessors.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Using Launch-on-Capture for Testing Scan Designs Containing Synchronous and Asynchronous Clock Domains.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

2010
Using Launch-on-Capture for Testing BIST Designs Containing Synchronous and Asynchronous Clock Domains.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

Tuning <i>V</i>th Hopping for Aggressive Runtime Leakage Control.
J. Low Power Electron., 2010

Fault Modeling and Analysis for Resistive Bridging Defects in a Synchronizer.
J. Electron. Test., 2010

Novel Vth Hopping Techniques for Aggressive Runtime Leakage Control.
Proceedings of the VLSI Design 2010: 23rd International Conference on VLSI Design, 2010

Current shaping and multi-thread activation for fast and reliable power mode transition in multicore designs.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

Stretching the limit of microarchitectural level leakage control with Adaptive Light-Weight Vth Hopping.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains.
Proceedings of the 25th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2010

2009
Turbo1500: Core-Based Design for Test and Diagnosis.
IEEE Des. Test Comput., 2009

Temporal and spatial idleness exploitation for optimal-grained leakage control.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

Analysis of Resistive Open Defects in a Synchronizer.
Proceedings of the 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2009

Selective light Vth hopping (SLITH): Bridging the gap between runtime dynamic and leakage.
Proceedings of the Design, Automation and Test in Europe, 2009

Analysis of Resistive Bridging Defects in a Synchronizer.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

2008
On a New Graph Theory Approach to Designing Zero-Aliasing Space Compressors for Built-In Self-Testing.
IEEE Trans. Instrum. Meas., 2008

Turbo1500: Toward Core-Based Design for Test and Diagnosis Using the IEEE 1500 Standard.
Proceedings of the 2008 IEEE International Test Conference, 2008

Dynamic virtual ground voltage estimation for power gating.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008

Run-time Active Leakage Reduction by power gating and reverse body biasing: An eNERGY vIEW.
Proceedings of the 26th International Conference on Computer Design, 2008

Accurate energy breakeven time estimation for run-time power gating.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

Material Fatigue and Reliability of MEMS Accelerometers.
Proceedings of the 23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 2008

Control Circuitry for Self-Repairable MEMS Accelerometers.
Proceedings of the Technological Developments in Education and Automation, 2008

2007
Testing Analog and Mixed-Signal Circuits With Built-In Hardware - A New Approach.
IEEE Trans. Instrum. Meas., 2007

Fault Modeling and Detection for Drowsy SRAM Caches.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

An efficient routing method for pseudo-exhaustive built-in self-testing of high-speed interconnects.
Proceedings of the 25th International Conference on Computer Design, 2007

2006
Crosstalk test pattern generation for dynamic programmable logic arrays.
IEEE Trans. Instrum. Meas., 2006

An Efficient Wrapper Scan Chain Configuration Method for Network-on-Chip Testing.
Proceedings of the 2006 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2006), 2006

Reliability Analysis of Self-Repairable MEMS Accelerometer.
Proceedings of the 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 2006

DyXY: a proximity congestion-aware deadlock-free dynamic routing method for network on chip.
Proceedings of the 43rd Design Automation Conference, 2006

2005
A dual-mode built-in self-test technique for capacitive MEMS devices.
IEEE Trans. Instrum. Meas., 2005

A built-in self-testing method for embedded multiport memory arrays.
IEEE Trans. Instrum. Meas., 2005

Fault simulation and response compaction in full scan circuits using HOPE.
IEEE Trans. Instrum. Meas., 2005

Revisiting response compaction in space for full-scan circuits with nonexhaustive test sets using concept of sequence characterization.
IEEE Trans. Instrum. Meas., 2005

Design and Analysis of Self-Repairable MEMS Accelerometer.
Proceedings of the 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 2005

Design and design automation of rectification logic for engineering change.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
A parallel built-in self-diagnostic method for nontraditional faults of embedded memory arrays.
IEEE Trans. Instrum. Meas., 2004

Partial Tag Comparison: A New Technology for Power-Efficient Set-Associative Cache Designs.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Location cache: a low-power L2 cache system.
Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004

Phased tag cache: an efficient low power cache system.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

Scan Chain Fault Identification Using Weight-Based Codes for SoC Circuits.
Proceedings of the 13th Asian Test Symposium (ATS 2004), 2004

2003
Embedded core test generation using broadcast test architecture and netlist scrambling.
IEEE Trans. Reliab., 2003

Design theory and implementation for low-power segmented bus systems.
ACM Trans. Design Autom. Electr. Syst., 2003

An efficient BIST method for non-traditional faults of embedded memory arrays.
IEEE Trans. Instrum. Meas., 2003

Parity bit signature in response data compaction and built-in self-testing of VLSI circuits with nonexhaustive test sets.
IEEE Trans. Instrum. Meas., 2003

2002
An efficient BIST method for distributed small buffers.
IEEE Trans. Very Large Scale Integr. Syst., 2002

Data compression in space under generalized mergeability based on concepts of cover table and frequency ordering.
IEEE Trans. Instrum. Meas., 2002

A parallel transparent BIST method for embedded memory arrays bytolerating redundant operations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002

A parallel built-in self-diagnostic method for embedded memoryarrays.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002

2001
Defect Level Estimation for Pseudorandom Testing Using Stochastic Analysis.
VLSI Design, 2001

Random Pattern Testability Enhancement by Circuit Rewiring.
VLSI Design, 2001

An adaptive path selection method for delay testing.
IEEE Trans. Instrum. Meas., 2001

Fault tolerance in systems design in VLSI using data compression under constraints of failure probabilities.
IEEE Trans. Instrum. Meas., 2001

Charge-sharing alleviation and detection for CMOS domino circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

A Parallel Built-In Self-Diagnostic Method For Embedded Memory Buffers.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

An Efficient Parallel Transparent Bist Method For Multiple Embedded Memory Buffers.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

Embedded Core Testing Using Broadcast Test Architecture.
Proceedings of the 16th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2001), 2001

2000
TAIR: testability analysis by implication reasoning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

Synthesis of CMOS Domino Circuits for Charge Sharing Alleviation.
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000

Low-Speed Scan Testing of Charge-Sharing Faults for CMOS Domino Circuits.
Proceedings of the 15th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2000), 2000

An efficient parallel transparent diagnostic BIST.
Proceedings of the 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, 2000

Charge sharing fault analysis and testing for CMOS domino logic circuits.
Proceedings of the 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, 2000

1999
Segmented bus design for low-power systems.
IEEE Trans. Very Large Scale Integr. Syst., 1999

An Efficient BIST Method for Small Buffers.
Proceedings of the 17th IEEE VLSI Test Symposium (VTS '99), 1999

Power reduction through iterative gate sizing and voltage scaling.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999

Charge Sharing Fault Detection for CMOS Domino Logic Circuits.
Proceedings of the 14th International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT '99), 1999

Gate-Level Design Exploiting Dual Supply Voltages for Power-Driven Applications.
Proceedings of the 36th Conference on Design Automation, 1999

1998
Syndrome Signature in Output Compaction for VLSI Built-in Self-Test.
VLSI Design, 1998

Confidence analysis for defect-level estimation of VLSI random testing.
ACM Trans. Design Autom. Electr. Syst., 1998

A Stochastic Method for Defect Level Analysis of Pseudorandom Testing.
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998

A tree-structured LFSR synthesis scheme for pseudo-exhaustive testing of VLSI circuits.
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998

A novel combinational testability analysis by considering signal correlation.
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998

1997
Delay Fault Coverage Enhancement Using Variable Observation Times.
J. Electron. Test., 1997

Delay Fault Coverage Enhancement Using Multiple Test Observation Times.
Proceedings of the 10th International Conference on VLSI Design (VLSI Design 1997), 1997

1996
PGEN: A Novel Approach to Sequential Circuit Test Generation.
VLSI Design, 1996

Pseudorandom test-length analysis using differential solutions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

Syndrome signature in output compaction for VLSI BIST.
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996

1995
Realizing a high measure of confidence for defect level analysis of random testing [VLSI].
IEEE Trans. Very Large Scale Integr. Syst., 1995

CACOP-a random pattern testability analyzer.
IEEE Trans. Syst. Man Cybern., 1995

On testing of sequential machines using circuit decomposition and stochastic modeling.
IEEE Trans. Syst. Man Cybern., 1995

A coordinated circuit partitioning and test generation method for pseudo-exhaustive testing of VLSI circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

Timing optimization by gate resizing and critical path identification.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

An improved output compaction technique for built-in self-test in VLSI circuits.
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995

1994
Multiple Fault Detection in Parity Checkers.
IEEE Trans. Computers, 1994

On Probabilistic Testing of Large-Scale Sequential Circuits Using Circuit Decomposition.
Proceedings of the Seventh International Conference on VLSI Design, 1994

Designing General-Purpose Fault-Tolerant Distributed Systems - A Layered Approach.
Proceedings of the Proceedings 1994 International Conference on Parallel and Distributed Systems, 1994

1993
Multiple fault testing using minimal single fault test set for fanout-free circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

Defect level estimation of circuit testing using sequential statistical analysis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

Realizing a High Measure of Confidence for Defect Level Analysis of Random Testing.
Proceedings of the Proceedings IEEE International Test Conference 1993, Designing, Testing, and Diagnostics, 1993

1992
On random testing for combinational circuits with a high measure of confidence.
IEEE Trans. Syst. Man Cybern., 1992

1991
Analysis of Hamming count compaction scheme.
J. Electron. Test., 1991

Defect Level Estimation of Random and Pseudorandom Testing.
Proceedings of the Proceedings IEEE International Test Conference 1991, 1991

Reduced Hamming Count and Its Aliasing Probability.
Proceedings of the Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1991

1990
Probabilistic modeling and fault analysis in sequential logic using computer simulation.
IEEE Trans. Syst. Man Cybern., 1990

Multiple-output parity bit signature for exhaustive testing.
J. Electron. Test., 1990

1989
Hamming count-a compaction testing technique.
Proceedings of the Computer Design: VLSI in Computers and Processors, 1989

A Scheme for Overlaying Concurrent Testing of VLSI Circuits.
Proceedings of the 26th ACM/IEEE Design Automation Conference, 1989

A Coordinated Approach to Partitioning and Test Pattern Generation for Pseudoexhaustive Testing.
Proceedings of the 26th ACM/IEEE Design Automation Conference, 1989


  Loading...