Shreepad Panth

Orcid: 0000-0001-6296-8453

According to our database1, Shreepad Panth authored at least 23 papers between 2011 and 2017.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2017
Tier Degradation of Monolithic 3-D ICs: A Power Performance Study at Different Technology Nodes.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Shrunk-2-D: A Physical Design Methodology to Build Commercial-Quality Monolithic 3-D ICs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

2016
More Power Reduction With 3-Tier Logic-on-Logic 3-D ICs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Adaptive Regression-Based Thermal Modeling and Optimization for Monolithic 3-D ICs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Monolithic 3D IC design: Power, performance, and area impact at 7nm.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

2015
Scan Test of Die Logic in 3-D ICs Using TSV Probing.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Placement-Driven Partitioning for Congestion Mitigation in Monolithic 3D IC Designs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Design and Analysis of 3D-MAPS (3D Massively Parallel Processor with Stacked Memory).
IEEE Trans. Computers, 2015

Three-Tier 3D ICs for More Power Reduction: Strategies in CAD, Design, and Bonding Selection.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Tier-partitioning for power delivery vs cooling tradeoff in 3D VLSI for mobile applications.
Proceedings of the 52nd Annual Design Automation Conference, 2015

2014
Design Challenges and Solutions for Ultra-High-Density Monolithic 3D ICs.
J. Inform. and Commun. Convergence Engineering, 2014

Design and CAD methodologies for low power gate-level monolithic 3D ICs.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014

Fast and Accurate Thermal Modeling and Optimization for Monolithic 3D ICs.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Power-Performance Study of Block-Level Monolithic 3D-ICs Considering Inter-Tier Performance Variations.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
IsoNet: Hardware-Based Job Queue Management for Many-Core Architectures.
IEEE Trans. Very Large Scale Integr. Syst., 2013

High-density integration of functional modules using monolithic 3D-IC technology.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

Test-TSV estimation during 3D-IC partitioning.
Proceedings of the 2013 IEEE International 3D Systems Integration Conference (3DIC), 2013

2012
Transition delay fault testing of 3D ICs with IR-drop study.
Proceedings of the 30th IEEE VLSI Test Symposium, 2012

Scan test of die logic in 3D ICs using TSV probing.
Proceedings of the 2012 IEEE International Test Conference, 2012


TSV Stress-Aware ATPG for 3D Stacked ICs.
Proceedings of the 21st IEEE Asian Test Symposium, 2012

2011
Scan chain and power delivery network synthesis for pre-bond test of 3D ICs.
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

Designing 3D test wrappers for pre-bond and post-bond test of 3D embedded cores.
Proceedings of the IEEE 29th International Conference on Computer Design, 2011


  Loading...