Kyungwook Chang

Orcid: 0000-0002-8513-9890

According to our database1, Kyungwook Chang authored at least 27 papers between 2009 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Pin-3D: Effective Physical Design Methodology for Multidie Co-Optimization in Monolithic 3-D ICs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., April, 2024

2023
Parameter Optimization of VLSI Placement Through Deep Reinforcement Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., April, 2023

2022
Design-Aware Partitioning-Based 3-D IC Design Flow With 2-D Commercial Tools.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

2021
High-Performance Logic-on-Memory Monolithic 3-D IC Designs for Arm Cortex-A Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2021

Pseudo-3D Physical Design Flow for Monolithic 3D ICs: Comparisons and Enhancements.
ACM Trans. Design Autom. Electr. Syst., 2021

2020
Compact-2D: A Physical Design Methodology to Build Two-Tier Gate-Level 3-D ICs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Full-Chip Electro-Thermal Coupling Extraction and Analysis for Face-to-Face Bonded 3D ICs.
Proceedings of the ISPD 2020: International Symposium on Physical Design, Taipei, Taiwan, March 29, 2020

Pseudo-3D Approaches for Commercial-Grade RTL-to-GDS Tool Flow Targeting Monolithic 3D ICs.
Proceedings of the ISPD 2020: International Symposium on Physical Design, Taipei, Taiwan, March 29, 2020

Pin-3D: A Physical Synthesis and Post-Layout Optimization Flow for Heterogeneous Monolithic 3D ICs.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

VLSI Placement Parameter Optimization using Deep Reinforcement Learning.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

2019
System-Level Power Delivery Network Analysis and Optimization for Monolithic 3-D ICs.
IEEE Trans. Very Large Scale Integr. Syst., 2019

RTL-to-GDS Tool Flow and Design-for-Test Solutions for Monolithic 3D ICs.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
Power, Performance, and Area Benefit of Monolithic 3D ICs for On-Chip Deep Neural Networks Targeting Speech Recognition.
ACM J. Emerg. Technol. Comput. Syst., 2018

Compact-2D: A Physical Design Methodology to Build Commercial-Quality Face-to-Face-Bonded 3D ICs.
Proceedings of the 2018 International Symposium on Physical Design, 2018

Road to High-Performance 3D ICs: Performance Optimization Methodologies for Monolithic 3D ICs.
Proceedings of the International Symposium on Low Power Electronics and Design, 2018

2017
Impact and Design Guideline of Monolithic 3-D IC at the 7-nm Technology Node.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Monolithic 3D IC designs for low-power deep neural networks targeting speech recognition.
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017

Frequency and time domain analysis of power delivery network for monolithic 3D ICs.
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017

Full-chip monolithic 3D IC design and power performance analysis with ASAP7 library: (Invited Paper).
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

Design automation and testing of monolithic 3D ICs: Opportunities, challenges, and solutions: (Invited paper).
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

2016
Monolithic 3D IC design: Power, performance, and area impact at 7nm.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

Cascade2D: A design-aware partitioning approach to monolithic 3D IC with 2D commercial tools.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Match-making for monolithic 3D IC: finding the right technology node.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2015
Power benefit study of monolithic 3D IC at the 7nm technology node.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015

2010
Automatic mapping of control-intensive kernels onto coarse-grained reconfigurable array architecture with speculative execution.
Proceedings of the 24th IEEE International Symposium on Parallel and Distributed Processing, 2010

Memory-Centric Communication Architecture for Reconfigurable Computing.
Proceedings of the Reconfigurable Computing: Architectures, 2010

2009
Coarse-grained reconfigurable architecture for multiple application domains: a case study.
Proceedings of the 2009 International Conference on Hybrid Information Technology, 2009


  Loading...