Greg Yeric

Affiliations:
  • ARM Inc., Austin, TX, USA


According to our database1, Greg Yeric authored at least 32 papers between 1990 and 2022.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
Design-Aware Partitioning-Based 3-D IC Design Flow With 2-D Commercial Tools.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Extreme Temperature (> 200 °C), Radiation Hard (> 1 Mrad), Dense (sub-50 nm CD), Fast (2 ns write pulses), Non-Volatile Memory Technology.
Proceedings of the IEEE International Memory Workshop, 2022

2021
Device-to-System Performance Evaluation: from Transistor/Interconnect Modeling to VLSI Physical Design and Neural-Network Predictor.
CoRR, 2021

2020
Stack up your chips: Betting on 3D integration to augment Moore's Law scaling.
CoRR, 2020

2019
System-Level Power Delivery Network Analysis and Optimization for Monolithic 3-D ICs.
IEEE Trans. Very Large Scale Integr. Syst., 2019

IC Design After Moore's Law.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2019

2018
Standard Cell Library Design and Optimization Methodology for ASAP7 PDK.
CoRR, 2018

2017
Impact and Design Guideline of Monolithic 3-D IC at the 7-nm Technology Node.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Frequency and time domain analysis of power delivery network for monolithic 3D ICs.
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017

DTCO for DSA-MP Hybrid Lithography with Double-BCP Materials in Sub-7nm Node.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

Standard cell library design and optimization methodology for ASAP7 PDK: (Invited paper).
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

2016
ASAP7: A 7-nm finFET predictive process design kit.
Microelectron. J., 2016

Predicting future complementary metal-oxide-semiconductor technology - challenges and approaches.
IET Comput. Digit. Tech., 2016

Monolithic 3D IC design: Power, performance, and area impact at 7nm.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

Four-tier Monolithic 3D ICs: Tier Partitioning Methodology and Power Benefit Study.
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016

Cascade2D: A design-aware partitioning approach to monolithic 3D IC with 2D commercial tools.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

At the core of system scaling.
Proceedings of the ESSCIRC Conference 2016: 42<sup>nd</sup> European Solid-State Circuits Conference, 2016

Match-making for monolithic 3D IC: finding the right technology node.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2015
Self-Aligned Double Patterning Aware Pin Access and Standard Cell Layout Co-Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Circuit design perspectives for Ge FinFET at 10nm and beyond.
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015

Power benefit study of monolithic 3D IC at the 7nm technology node.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015

2014
Design, technology and yield in the post-moore era.
Proceedings of the 2014 International Test Conference, 2014

Physical design and FinFETs.
Proceedings of the International Symposium on Physical Design, 2014

2013
The past present and future of design-technology co-optimization.
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, 2013

2012
Design benchmarking to 7nm with FinFET predictive technology models.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

Exploring sub-20nm FinFET design with predictive technology models.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
Correlating models and silicon for improved parametric yield.
Proceedings of the Design, Automation and Test in Europe, 2011

2007
Making Manufacturing Work For You.
Proceedings of the 44th Design Automation Conference, 2007

2005
Infrastructure for Successful BEOL Yield Ramp, Transfer to Manufacturing, and DFM Characterization at 65 nm and Below.
IEEE Des. Test Comput., 2005

1993
Improved universal MOSFET electron mobility degradation models for circuit simulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

Universal MOSFET hole mobility degradation models for circuit simulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

1990
A universal MOSFET mobility degradation model for circuit simulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1990


  Loading...