Soo-Ik Chae

According to our database1, Soo-Ik Chae authored at least 71 papers between 1993 and 2021.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2021
High Throughput CBAC Hardware Encoder With Bin Merging for AVS 2.0 Video Coding.
IEEE Trans. Circuits Syst. Video Technol., 2021

2019
An adaptive hash-based search for integer motion estimation in SCC.
IEICE Electron. Express, 2019

2018
Fast Integer Motion Estimation With Bottom-Up Motion Vector Prediction for an HEVC Encoder.
IEEE Trans. Circuits Syst. Video Technol., 2018

2016
Decoding of main 4: 2: 2 10-bit bitstreams in HEVC Main 8-bit best-effort decoders.
Proceedings of the IEEE International Conference on Consumer Electronics, 2016

2015
Coding efficiency of AVS 2.0 for CBAC and CABAC engines.
Proceedings of the Eighth International Conference on Machine Vision, 2015

Coding efficiency of the context-based arithmetic coding engine of AVS 2.0 in the HEVC encoder.
Proceedings of the IEEE International Conference on Consumer Electronics, 2015

2013
Efficient test bitstream generation with an N-way covering algorithm for configurations of high-level syntax elements in video decoders.
IEEE Trans. Consumer Electron., 2013

Next-Generation Memory [Guest editors' introduction].
Computer, 2013

Generation of efficient bitstreams for functional tests of video decoders.
Proceedings of the IEEE International Conference on Consumer Electronics, 2013

2011
A Programmable Video Platform and Its Application Mapping Framework Using the Target Application's SystemC Models.
EURASIP J. Embed. Syst., 2011

2010
Constrained-random bitstream generation for H.264/AVC decoder conformance test.
IEEE Trans. Consumer Electron., 2010

Flexible DMA subsystem in multi-core platforms for video applications.
IEICE Electron. Express, 2010

A 40 Mbps H.264/AVC CAVLC decoder using a 64-bit multiple-issue video parsing coprocessor.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2010, 2010

2009
A mixed-level virtual prototyping environment for SystemC-based design methodology.
Microelectron. J., 2009

Simulink<sup>®</sup>-based heterogeneous multiprocessor SoC design flow for mixed hardware/software refinement and simulation.
Integr., 2009

Adaptive Scanline Filling Algorithm for OpenVG 2D Vector Graphics Accelerator.
IEICE Trans. Inf. Syst., 2009

VLSI Implementation of a VC-1 Main Profile Decoder for HD Video Applications.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

Configurable high-performance video platform using multiple RISC clusters connected with separated data and control networks.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2009

2008
A high-performance OpenVG accelerator with dual-scanline filling rendering.
IEEE Trans. Consumer Electron., 2008

Cache Optimization for H.264/AVC Motion Compensation.
IEICE Trans. Inf. Syst., 2008

A hardware operating system kernel for multi-processor systems.
IEICE Electron. Express, 2008

RTL Generation of Channel Architecture Templates for a Template-based SoC Design Flow.
Proceedings of the Forum on specification and Design Languages, 2008

2007
Memory-efficient multithreaded code generation from Simulink for heterogeneous MPSoC.
Des. Autom. Embed. Syst., 2007

Reducing fine-grain communication overhead in multithread code generation for heterogeneous MPSoC.
Proceedings of the 10th International Workshop on Software and Compilers for Embedded Systems, 2007

Simulink-Based MPSoC Design Flow: Case Study of Motion-JPEG and H.264.
Proceedings of the 44th Design Automation Conference, 2007

2006
A Bootstrapped Switch for nMOS Reversible Energy Recovery Logic for Low-Voltage Applications.
IEICE Trans. Electron., 2006

A Mixed-Level Virtual Prototyping Environment for Refinement-Based Design Environment.
Proceedings of the 17th IEEE International Workshop on Rapid System Prototyping (RSP 2006), 2006

Buffer memory optimization for video codec application modeled in Simulink.
Proceedings of the 43rd Design Automation Conference, 2006

Reusable component IP design using refinement-based design environment.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

Functional modeling techniques for efficient SW code generation of video codec applications.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

Implementation of an OpenVG Rasterizer with Configurable Anti-Aliasing and Multi-Window Scissoring.
Proceedings of the Sixth International Conference on Computer and Information Technology (CIT 2006), 2006

2005
A 0.25-μm CMOS quad-band GSM RF transceiver using an efficient LO frequency plan.
IEEE J. Solid State Circuits, 2005

A C/C++-Based Functional Verification Framework Using the SystemC Verification Library.
Proceedings of the 16th IEEE International Workshop on Rapid System Prototyping (RSP 2005), 2005

A Two-Week Program for a Platform-Based SoC Design.
Proceedings of the 2005 International Conference on Microelectronics Systems Education, 2005

Complexity reduction in an nRERL microprocessor.
Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005

Implementation of a simple 8-bit microprocessor with reversible energy recovery logic.
Proceedings of the Second Conference on Computing Frontiers, 2005

A fast VLSI architecture for full-search variable block size motion estimation in MPEG-4 AVC/H.264.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
A reset-free anti-harmonic delay-locked loop using a cycle period detector.
IEEE J. Solid State Circuits, 2004

A 2.4-GHz 0.25-μm CMOS dual-mode direct-conversion transceiver for bluetooth and 802.11b.
IEEE J. Solid State Circuits, 2004

A Cycle-Accurate Energy Estimator for CMOS Digital Circuits.
Proceedings of the Integrated Circuit and System Design, 2004

An efficient scalable and flexible data transfer architecture for multiprocessor SoC with massive distributed memory.
Proceedings of the 41th Design Automation Conference, 2004

2003
An Efficient Simulation Environment and Simulation Techniques for Bluetooth Device Design.
Des. Autom. Embed. Syst., 2003

A cycle-accurate joulemeter for CMOS VLSI circuits.
Proceedings of the ESSCIRC 2003, 2003

2001
Partial bus-invert coding for power optimization of application-specific systems.
IEEE Trans. Very Large Scale Integr. Syst., 2001

An 8-b nRERL microprocessor for ultra-low-energy applications.
Proceedings of ASP-DAC 2001, 2001

2000
nMOS reversible energy recovery logic for ultra-low-energy applications.
IEEE J. Solid State Circuits, 2000

Emulator Environment Based on an FPGA Prototyping Board.
Proceedings of the 11th IEEE International Workshop on Rapid System Prototyping (RSP 2000), 2000

Voltage dependent gate capacitance and its impact in estimating power and delay of CMOS digital circuits with low supply voltage (poster session).
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000

A three-port nRERL register file for ultra-low-energy applications.
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000

Frequency-domain separation of convolved non-stationary signals with adaptive non-causal FIR filters.
Proceedings of the 10th European Signal Processing Conference, 2000

An 8×8 nRERL serial multiplier for ultra-low-power aplications.
Proceedings of ASP-DAC 2000, 2000

1999
A 16-bit carry-lookahead adder using reversible energy recovery logic for ultra-low-energy systems.
IEEE J. Solid State Circuits, 1999

1998
New motion estimation algorithm using adaptively quantized low bit-resolution image and its VLSI architecture for MPEG2 video encoding.
IEEE Trans. Circuits Syst. Video Technol., 1998

Fast Design of Reduced-Complexity Nearest-Neighbor Classifiers Using Triangular Inequality.
IEEE Trans. Pattern Anal. Mach. Intell., 1998

Partial bus-invert coding for power optimization of system level bus.
Proceedings of the 1998 International Symposium on Low Power Electronics and Design, 1998

1997
Digital implementation of discrete-time cellular neural networks with distributed arithmetic.
Proceedings of International Conference on Neural Networks (ICNN'97), 1997

Improved sufficient convergence condition for the discrete-time cellular neural networks.
Proceedings of International Conference on Neural Networks (ICNN'97), 1997

Single-sensor active noise cancellation using recurrent neural network predictors.
Proceedings of International Conference on Neural Networks (ICNN'97), 1997

1996
Two-step motion estimation algorithm using low-resolution quantization.
Proceedings of the Proceedings 1996 International Conference on Image Processing, 1996

Fast vector quantizer using multiple sorted index tables.
Proceedings of the Proceedings 1996 International Conference on Image Processing, 1996

GPD training of the state weighting functions in hidden control neural network.
Proceedings of the 1996 IEEE International Conference on Acoustics, 1996

1995
New Perceptron Model Using Random Bitstreams.
Neural Comput., 1995

Recurrent neural prediction models for speech recognition.
Proceedings of the Fourth European Conference on Speech Communication and Technology, 1995

Discriminative training of hidden Markov models using overall risk criterion and reduced gradient method.
Proceedings of the Fourth European Conference on Speech Communication and Technology, 1995

Corrective training of hidden control neural network.
Proceedings of International Conference on Neural Networks (ICNN'95), Perth, WA, Australia, November 27, 1995

Ratio pulse arithmetic for radial basis function network.
Proceedings of International Conference on Neural Networks (ICNN'95), Perth, WA, Australia, November 27, 1995

New classifier with reduced computational complexity.
Proceedings of International Conference on Neural Networks (ICNN'95), Perth, WA, Australia, November 27, 1995

1994
Stereo Correspondence with Discrete-Time Cellular Neural Networks.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

A Study on the Stochastic Computation Using the Ratio of One Pulses and Zero Pulses.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

Character Recognition by Neural Networks with Single-Layer Training and Rejection Mechanism.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

1993
Modified Probabilistic RAM Archticture for VLSI Implementation of a Backpropagation Learning Algorithm.
Proceedings of the 1993 IEEE International Symposium on Circuits and Systems, 1993


  Loading...