Yuan Du

Orcid: 0000-0002-5316-619X

According to our database1, Yuan Du authored at least 86 papers between 2010 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
An Energy-Efficient Spiking Neural Network Accelerator Based on Spatio-Temporal Redundancy Reduction.
IEEE Trans. Very Large Scale Integr. Syst., April, 2024

Low-Latency PAE: Permutation-Based Address Encryption Hardware Engine for IoT Real-Time Memory Protection.
IEEE Internet Things J., April, 2024

An Efficient GCN Accelerator Based on Workload Reorganization and Feature Reduction.
IEEE Trans. Circuits Syst. I Regul. Pap., February, 2024

BEV-LGKD: A Unified LiDAR-Guided Knowledge Distillation Framework for Multi-View BEV 3D Object Detection.
IEEE Trans. Intell. Veh., January, 2024

Special Issue on Selected Papers From APCCAS 2022.
IEEE Open J. Circuits Syst., 2024

VeCAF: VLM-empowered Collaborative Active Finetuning with Training Objective Awareness.
CoRR, 2024

Efficient Deweahter Mixture-of-Experts with Uncertainty-Aware Feature-Wise Linear Modulation.
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024

2023
SSM-CIM: An Efficient CIM Macro Featuring Single-Step Multi-bit MAC Computation for CNN Edge Inference.
IEEE Trans. Circuits Syst. I Regul. Pap., November, 2023

Design of All-Digital Two Phase Ping-Pong Switched Capacitor Voltage Doubler Power Converter.
IEEE Trans. Circuits Syst. II Express Briefs, October, 2023

An Efficient CNN Inference Accelerator Based on Intra- and Inter-Channel Feature Map Compression.
IEEE Trans. Circuits Syst. I Regul. Pap., September, 2023

QPA: A Quantization-Aware Piecewise Polynomial Approximation Methodology for Hardware-Efficient Implementations.
IEEE Trans. Very Large Scale Integr. Syst., 2023

Progressive Classifier Mechanism for Bridge Expansion Joint Health Status Monitoring System Based on Acoustic Sensors.
Sensors, 2023

A 0.90-Tb/s/in 1.29-pJ/b Wireline Transceiver With Single-Ended Crosstalk Cancellation Coding Scheme for High-Density Interconnects.
IEEE J. Solid State Circuits, 2023

Efficient Deweather Mixture-of-Experts with Uncertainty-aware Feature-wise Linear Modulation.
CoRR, 2023

Unimodal Training-Multimodal Prediction: Cross-modal Federated Learning with Hierarchical Aggregation.
CoRR, 2023

Characterization of Charge-Trap-Transistor (CTT) Threshold Voltage Degradation and Differential-Pair-Based Memory Design.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Graph Neural Network Assisted S-Parameter Inference and Control-Word Generation of Terahertz Reconfigurable Intelligent Surface.
Proceedings of the IEEE International Conference on Integrated Circuits, 2023

Siamese Network Representation for Active Learning.
Proceedings of the IEEE International Conference on Image Processing, 2023

QD-BEV : Quantization-aware View-guided Distillation for Multi-view 3D Object Detection.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023

Linearity Analysis for Charge Domain In-memory Computing.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

Memory-Efficient Compression Based on Least-Squares Fitting in Convolutional Neural Network Accelerators.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

A Non-Centralized Routing Scheme with Phase-Caching CDR for Nanosecond-Level Optical Switching Systems.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

A Dual-Mode Broadband Image Sensor Based on Graphene-CMOS Integration.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

An Efficient Design Framework for 2×2 CNN Accelerator Chiplet Cluster with SerDes Interconnects.
Proceedings of the 5th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2023

Grand Challenge on Software and Hardware Co-Optimization for E-Commerce Recommendation System.
Proceedings of the 5th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2023

A Column-Parallel Time-Interleaved SAR/SS ADC for Computing in Memory with 2-8bit Reconfigurable Resolution.
Proceedings of the 5th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2023

Live Demonstration: An Efficient Neural Network Processor with Reduced Data Transmission and On-chip Shortcut Mapping.
Proceedings of the 5th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2023

2022
An Efficient High-Throughput Structured-Light Depth Engine.
IEEE Trans. Very Large Scale Integr. Syst., 2022

Memory-Efficient CNN Accelerator Based on Interlayer Feature Map Compression.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

A Low-Power High-Accuracy Urban Waterlogging Depth Sensor Based on Millimeter-Wave FMCW Radar.
Sensors, 2022

A Contactless Glucose Solution Concentration Measurement System Based on Improved High Accurate FMCW Radar Algorithm.
Sensors, 2022

GNSS/Accelerometer Adaptive Coupled Landslide Deformation Monitoring Technology.
Remote. Sens., 2022

EBM Life Cycle: MCMC Strategies for Synthesis, Defense, and Density Modeling.
CoRR, 2022

A Reconfigurable Design of Flexible-arbitrated Crossbar Interconnects in Multi-core SoC system.
Proceedings of the 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2022

SVR: A Shard-aware Vertex Reordering Method for Efficient GNN Execution and Memory Access.
Proceedings of the 19th International SoC Design Conference, 2022

An X-band Phase Detector Based on Quadrature Modulation in 28-nm CMOS.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Deep Neural Network Interlayer Feature Map Compression Based on Least-Squares Fitting.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A 3-8bit Reconfigurable Hybrid ADC Architecture with Successive-approximation and Single-slope Stages for Computing in Memory.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Prototype-Voxel Contrastive Learning for LiDAR Point Cloud Panoptic Segmentation.
Proceedings of the 2022 International Conference on Robotics and Automation, 2022

2021
HGFDB: a collective database of helmeted guinea fowl genomics.
Database J. Biol. Databases Curation, 2021

CTT-based Non-Volatile Deep Neural Network Accelerator Design.
Proceedings of the 18th International SoC Design Conference, 2021

LSMQ: A Layer-Wise Sensitivity-Based Mixed-Precision Quantization Method for Bit-Flexible CNN Accelerator.
Proceedings of the 18th International SoC Design Conference, 2021

A DNN Optimization Framework with Unlabeled Data for Efficient and Accurate Reconfigurable Hardware Inference.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Flexible-width Bit-level Compressor for Convolutional Neural Network.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021

2020
A Reconfigurable 64-Dimension K-Means Clustering Accelerator With Adaptive Overflow Control.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

Asynchronous RTK Method for Detecting the Stability of the Reference Station in GNSS Deformation Monitoring.
Sensors, 2020

A 28-mW 32-Gb/s/pin 16-QAM Single-Ended Transceiver for High-Speed Memory Interface.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

A Reconfigurable Permutation Based Address Encryption Architecture for Memory Security.
Proceedings of the 33rd IEEE International System-on-Chip Conference, 2020

In-Memory Computing: The Next-Generation AI Computing Paradigm.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

An 8.3% Efficiency 96-134 GHz CMOS Frequency Doubler Using Distributed Amplifier and Nonlinear Transmission Line.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2020

2019
An Analog Neural Network Computing Engine Using CMOS-Compatible Charge-Trap-Transistor (CTT).
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

A New Asynchronous RTK Method to Mitigate Base Station Observation Outages.
Sensors, 2019

A Millimeter-Wave CMOS Transceiver With Digitally Pre-Distorted PAM-4 Modulation for Contactless Communications.
IEEE J. Solid State Circuits, 2019

Subsystem under 3D-Storage Class Memory on a chip.
Comput. Electr. Eng., 2019

A 7.5-mW 10-Gb/s 16-QAM wireline transceiver with carrier synchronization and threshold calibration for mobile inter-chip communications in 16-nm FinFET.
Proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip, 2019

2018
A 2-GS/s 8-Bit ADC Featuring Virtual-Ground Sampling Interleaved Architecture in 28-nm CMOS.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

A Reconfigurable Streaming Deep Convolutional Neural Network Accelerator for Internet of Things.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

A Novel Fully Synthesizable All-Digital RF Transmitter for IoT Applications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

A Single Layer 3-D Touch Sensing System for Mobile Devices Application.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

A New Azimuth-Dependent Elevation Weight (ADEW) Model for Real-Time Deformation Monitoring in Complex Environment by Multi-GNSS.
Sensors, 2018

A 20Gb/s 79.5mW 127GHz CMOS transceiver with digitally pre-distorted PAM-4 modulation for contactless communications.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

A fully integrated 28nm CMOS dual source adaptive thermoelectric and RF energy harvesting circuit with 110mv startup voltage.
Proceedings of the 2018 IEEE Custom Integrated Circuits Conference, 2018

2017
An R2R-DAC-Based Architecture for Equalization-Equipped Voltage-Mode PAM-4 Wireline Transmitter Design.
IEEE Trans. Very Large Scale Integr. Syst., 2017

A Capacitor-DAC-Based Technique For Pre-Emphasis-Enabled Multilevel Transmitters.
IEEE Trans. Circuits Syst. II Express Briefs, 2017

Retention-Aware Hybrid Main Memory (RAHMM): Big DRAM and Little SCM.
IEEE Trans. Computers, 2017

A 16-Gb/s 14.7-mW Tri-Band Cognitive Serial Link Transmitter With Forwarded Clock to Enable PAM-16/256-QAM and Channel Response Detection.
IEEE J. Solid State Circuits, 2017

Erratum: CAM-based retention-aware DRAM (CRA-DRAM) for refresh power reduction [IEICE Electronics Express Vol. 14 (2017) No. 10 pp. 20170053].
IEICE Electron. Express, 2017

CAM-based retention-aware DRAM (CRA-DRAM) for refresh power reduction.
IEICE Electron. Express, 2017

Logic area reduction using the deep trench isolation technique based on 40 nm embedded PCM process.
IEICE Electron. Express, 2017

Multi-core architecture with asynchronous clocks to prevent power analysis attacks.
IEICE Electron. Express, 2017

A Memristive Neural Network Computing Engine using CMOS-Compatible Charge-Trap-Transistor (CTT).
CoRR, 2017

A Streaming Accelerator for Deep Convolutional Neural Networks with Image and Feature Decomposition for Resource-limited System Applications.
CoRR, 2017

A Reconfigurable Streaming Deep Convolutional Neural Network Accelerator for Internet of Things.
CoRR, 2017

2016
Cognitive Serial Interface with Multi-Band Signaling and Channel Learning Mechanism.
PhD thesis, 2016

A 0.56 THz Phase-Locked Frequency Synthesizer in 65 nm CMOS Technology.
IEEE J. Solid State Circuits, 2016

A 16Gb/s 14.7mW tri-band cognitive serial link transmitter with forwarded clock to enable PAM-16 / 256-QAM and channel response detection in 28 nm CMOS.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

10.2 A 38mW 40Gb/s 4-lane tri-band PAM-4 / 16-QAM transceiver in 28nm CMOS for high-speed Memory interface.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

2015
A 5.4-mW 4-Gb/s 5-band QPSK transceiver for frequency-division multiplexing memory interface.
Proceedings of the 2015 IEEE Custom Integrated Circuits Conference, 2015

2014
Aspectual Properties of Conversational Activities.
Proceedings of the SIGDIAL 2014 Conference, 2014

2013
Geocommunity-Based Broadcasting for Data Dissemination in Mobile Social Networks.
IEEE Trans. Parallel Distributed Syst., 2013

ConSub: Incentive-Based Content Subscribing in Selfish Opportunistic Mobile Networks.
IEEE J. Sel. Areas Commun., 2013

2011
DelQue: A Socially Aware Delegation Query Scheme in Delay-Tolerant Networks.
IEEE Trans. Veh. Technol., 2011

Experimental analysis of user mobility pattern in mobile social networks.
Proceedings of the 2011 IEEE Wireless Communications and Networking Conference, 2011

Broadcast yourself: understanding YouTube uploaders.
Proceedings of the 11th ACM SIGCOMM Internet Measurement Conference, 2011

Tilt & touch: mobile phone for 3D interaction.
Proceedings of the UbiComp 2011: Ubiquitous Computing, 13th International Conference, 2011

2010
Geography-aware active data dissemination in mobile social networks.
Proceedings of the IEEE 7th International Conference on Mobile Adhoc and Sensor Systems, 2010


  Loading...