Dimitris Gizopoulos

Orcid: 0000-0002-1613-9061

According to our database1, Dimitris Gizopoulos authored at least 171 papers between 1995 and 2024.

Collaborative distances:

Awards

IEEE Fellow

IEEE Fellow 2013, "For contributions to self-testing and on-line error detection of microprocessor architectures".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Gem5-MARVEL: Microarchitecture-Level Resilience Analysis of Heterogeneous SoC Architectures.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2024

2023
Silent Data Corruptions: Microarchitectural Perspectives.
IEEE Trans. Computers, November, 2023

Anatomy of On-Chip Memory Hardware Fault Effects Across the Layers.
IEEE Trans. Emerg. Top. Comput., 2023

Security layers and related services within the Horizon Europe NEUROPULS project.
CoRR, 2023

Vitamin-V: Virtual Environment and Tool-boxing for Trustworthy Development of RISC-V based Cloud Services.
CoRR, 2023

NEUROPULS: NEUROmorphic energy-efficient secure accelerators based on Phase change materials aUgmented siLicon photonicS.
CoRR, 2023

Soft Error Effects on Arm Microprocessors: Early Estimations Versus Chip.
Computer, 2023

Silent Data Errors: Sources, Detection, and Modeling.
Proceedings of the 41st IEEE VLSI Test Symposium, 2023

Impact of Voltage Scaling on Soft Errors Susceptibility of Multicore Server CPUs.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

Estimating the Failures and Silent Errors Rates of CPUs Across ISAs and Microarchitectures.
Proceedings of the IEEE International Test Conference, 2023

Silent Data Corruptions: The Stealthy Saboteurs of Digital Integrity.
Proceedings of the 29th International Symposium on On-Line Testing and Robust System Design, 2023

Energy Efficiency of Out-of-Order CPUs: Comparative Study and Microarchitectural Hotspot Characterization of RISC-V Designs.
Proceedings of the IEEE International Symposium on Workload Characterization, 2023

AVGI: Microarchitecture-Driven, Fast and Accurate Vulnerability Assessment.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023


Validation, Verification, and Testing (VVT) of future RISC-V powered cloud infrastructures: the Vitamin-V Horizon Europe Project perspective.
Proceedings of the IEEE European Test Symposium, 2023


2022
On the Evaluation of the Total-Cost-of-Ownership Trade-Offs in Edge vs Cloud Deployments: A Wireless-Denial-of-Service Case Study.
IEEE Trans. Sustain. Comput., 2022

The Impact of CPU Voltage Margins on Power-Constrained Execution.
IEEE Trans. Sustain. Comput., 2022

Soft Error Effects on Arm Microprocessors: Early Estimations versus Chip Measurements.
IEEE Trans. Computers, 2022

IDLD: Instantaneous Detection of Leakage and Duplication of Identifiers used for Register Renaming.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022

gpuFI-4: A Microarchitecture-Level Framework for Assessing the Cross-Layer Resilience of Nvidia GPUs.
Proceedings of the International IEEE Symposium on Performance Analysis of Systems and Software, 2022

2021
Towards Accurate Performance Modeling of RISC-V Designs.
CoRR, 2021

A System-Level Voltage/Frequency Scaling Characterization Framework for Multicore CPUs.
CoRR, 2021

The Impact of SoC Integration and OS Deployment on the Reliability of Arm Processors.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2021

Demystifying the System Vulnerability Stack: Transient Fault Effects Across the Layers.
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021

Boosting Microprocessor Efficiency: Circuit- and Workload-Aware Assessment of Timing Errors.
Proceedings of the IEEE International Symposium on Workload Characterization, 2021

Characterizing Soft Error Vulnerability of CPUs Across Compiler Optimizations and Microarchitectures.
Proceedings of the IEEE International Symposium on Workload Characterization, 2021

2020
Exceeding Conservative Limits: A Consolidated Analysis on Modern Hardware Margins.
CoRR, 2020

Cross-Layer Soft-Error Resilience Analysis of Computing Systems.
Proceedings of the 50th Annual IEEE-IFIP International Conference on Dependable Systems and Networks, 2020

rACE: Reverse-Order Processor Reliability Analysis.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
SyRA: Early System Reliability Analysis for Cross-Layer Soft Errors Resilience in Memory Arrays of Microprocessor Systems.
IEEE Trans. Computers, 2019

Assessing the Effects of Low Voltage in Branch Prediction Units.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2019

Modern Hardware Margins: CPUs, GPUs, FPGAs Recent System-Level Studies.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

Multi-Bit Upsets Vulnerability Analysis of Modern Microprocessors.
Proceedings of the IEEE International Symposium on Workload Characterization, 2019

Adaptive Voltage/Frequency Scaling and Core Allocation for Balanced Energy and Performance on Multicore CPUs.
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019

Demystifying Soft Error Assessment Strategies on ARM CPUs: Microarchitectural Fault Injection vs. Neutron Beam Experiments.
Proceedings of the 49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2019

2018
Statistical Analysis of Multicore CPUs Operation in Scaled Voltage Conditions.
IEEE Comput. Archit. Lett., 2018

Multi-faceted microarchitecture level reliability characterization for NVIDIA and AMD GPUs.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018

Micro-Viruses for Fast System-Level Voltage Margins Characterization in Multicore CPUs.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2018

HealthLog Monitor: A Flexible System-Monitoring Linux Service.
Proceedings of the 24th IEEE International Symposium on On-Line Testing And Robust System Design, 2018

Analysis and Characterization of Ultra Low Power Branch Predictors.
Proceedings of the 36th IEEE International Conference on Computer Design, 2018

Measuring and Exploiting Guardbands of Server-Grade ARMv8 CPU Cores and DRAMs.
Proceedings of the 48th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops, 2018


2017
Error-Resilient Server Ecosystems for Edge and Cloud Datacenters.
Computer, 2017

Performance-aware reliability assessment of heterogeneous chips.
Proceedings of the 35th IEEE VLSI Test Symposium, 2017

Harnessing voltage margins for energy efficiency in multicore CPUs.
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017

Microarchitecture level reliability comparison of modern GPU designs: First findings.
Proceedings of the 2017 IEEE International Symposium on Performance Analysis of Systems and Software, 2017

MeRLiN: Exploiting Dynamic Instruction Behavior for Fast and Accurate Microarchitecture Level Reliability Assessment.
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017

SIFI: AMD southern islands GPU microarchitectural level fault injector.
Proceedings of the 23rd IEEE International Symposium on On-Line Testing and Robust System Design, 2017

Voltage margins identification on commercial x86-64 multicore microprocessors.
Proceedings of the 23rd IEEE International Symposium on On-Line Testing and Robust System Design, 2017

RT Level vs. Microarchitecture-Level Reliability Assessment: Case Study on ARM(R) Cortex(R)-A9 CPU.
Proceedings of the 47th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops, 2017

2016
Hierarchical Synthesis of Quantum and Reversible Architectures.
Int. J. Parallel Program., 2016

Microprocessor reliability-performance tradeoffs assessment at the microarchitecture level.
Proceedings of the 34th IEEE VLSI Test Symposium, 2016

Faults in data prefetchers: Performance degradation and variability.
Proceedings of the 34th IEEE VLSI Test Symposium, 2016

Cross-layer system reliability assessment framework for hardware faults.
Proceedings of the 2016 IEEE International Test Conference, 2016

GUFI: A framework for GPUs reliability assessment.
Proceedings of the 2016 IEEE International Symposium on Performance Analysis of Systems and Software, 2016

Anatomy of microarchitecture-level reliability assessment: Throughput and accuracy.
Proceedings of the 2016 IEEE International Symposium on Performance Analysis of Systems and Software, 2016

RIIF-2: Toward the next generation reliability information interchange format.
Proceedings of the 22nd IEEE International Symposium on On-Line Testing and Robust System Design, 2016

ISA-independent post-silicon validation for the address translation mechanisms of modern microprocessors.
Proceedings of the 22nd IEEE International Symposium on On-Line Testing and Robust System Design, 2016

Unveiling difficult bugs in address translation caching arrays for effective post-silicon validation.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

2015
Cross-layer reliability evaluation, moving from the hardware architecture to the system level: A CLERECO EU project overview.
Microprocess. Microsystems, 2015

Dependable Multicore Architectures at Nanoscale: The View From Europe.
IEEE Des. Test, 2015

Efficient parallelization of the Discrete Wavelet Transform algorithm using memory-oblivious optimizations.
Proceedings of the 25th International Workshop on Power and Timing Modeling, 2015

Bayesian network early reliability evaluation analysis for both permanent and transient faults.
Proceedings of the 21st IEEE International On-Line Testing Symposium, 2015

The future of fault tolerant computing.
Proceedings of the 21st IEEE International On-Line Testing Symposium, 2015

Differential Fault Injection on Microarchitectural Simulators.
Proceedings of the 2015 IEEE International Symposium on Workload Characterization, 2015

A Bayesian model for system level reliability estimation.
Proceedings of the 20th IEEE European Test Symposium, 2015

Accelerated microarchitectural Fault Injection-based reliability assessment.
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015

2014
Software-Based Self-Test for Small Caches in Microprocessors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Fast quantum modular exponentiation architecture for Shor's factoring algorithm.
Quantum Inf. Comput., 2014

Accelerated online error detection in many-core microprocessor architectures.
Proceedings of the 32nd IEEE VLSI Test Symposium, 2014

Special session 8B - Panel: In-field testing of SoC devices: Which solutions by which players?
Proceedings of the 32nd IEEE VLSI Test Symposium, 2014

Power-aware optimization of software-based self-test for L1 caches in microprocessors.
Proceedings of the 2014 IEEE 20th International On-Line Testing Symposium, 2014

Versatile architecture-level fault injection framework for reliability evaluation: A first report.
Proceedings of the 2014 IEEE 20th International On-Line Testing Symposium, 2014

Cross-layer early reliability evaluation: Challenges and promises.
Proceedings of the 2014 IEEE 20th International On-Line Testing Symposium, 2014

Cross-Layer Early Reliability Evaluation for the Computing cOntinuum.
Proceedings of the 17th Euromicro Conference on Digital System Design, 2014

2013
Software-Based Self Test Methodology for On-Line Testing of L1 Caches in Multithreaded Multicore Architectures.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Guest Editorial - Special Issue on Manufacturable and Dependable Multicore Architectures at Nanoscale (MEDIAN).
J. Electron. Test., 2013

Combining checkpointing and scrubbing in FPGA-based real-time systems.
Proceedings of the 31st IEEE VLSI Test Symposium, 2013

Deconfigurable microprocessor architectures for silicon debug acceleration.
Proceedings of the 40th Annual International Symposium on Computer Architecture, 2013

The functional and performance tolerance of GPUs to permanent faults in registers.
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013

Online error detection in multiprocessor chips: A test scheduling study.
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013

Measuring the performance impact of permanent faults in modern microprocessor architectures.
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013

Assessing the impact of hard faults in performance components of modern microprocessors.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

Reliability challenges of real-time systems in forthcoming technology nodes.
Proceedings of the Design, Automation and Test in Europe, 2013

2012
Accumulator Based 3-Weight Pattern Generation.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Efficient Memory Repair Using Cache-Based Redundancy.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Low Energy Online Self-Test of Embedded Processors in Dependable WSN Nodes.
IEEE Trans. Dependable Secur. Comput., 2012

A Software-Based Self-Test methodology for on-line testing of data TLBs.
Proceedings of the 17th IEEE European Test Symposium, 2012

2011
Chip Self-Organization and Fault Tolerance in Massively Defective Multicore Arrays.
IEEE Trans. Dependable Secur. Comput., 2011

Guest Editors' Introduction: Special Section on Dependable Computer Architecture.
IEEE Trans. Computers, 2011

Accelerating microprocessor silicon validation by exposing ISA diversity.
Proceedings of the 44rd Annual IEEE/ACM International Symposium on Microarchitecture, 2011

A Software-Based Self-Test methodology for on-line testing of processor caches.
Proceedings of the 2011 IEEE International Test Conference, 2011

Towards improved survivability in safety-critical systems.
Proceedings of the 17th IEEE International On-Line Testing Symposium (IOLTS 2011), 2011

Architectures for online error detection and recovery in multicore processors.
Proceedings of the Design, Automation and Test in Europe, 2011

2010
Recursive Pseudo-Exhaustive Two-Pattern Generation.
IEEE Trans. Very Large Scale Integr. Syst., 2010

Microprocessor Software-Based Self-Testing.
IEEE Des. Test Comput., 2010

MT-SBST: Self-test optimization in multithreaded multicore architectures.
Proceedings of the 2011 IEEE International Test Conference, 2010

A software-based self-test methodology for in-system testing of processor cache tag arrays.
Proceedings of the 16th IEEE International On-Line Testing Symposium (IOLTS 2010), 2010

SBST for on-line detection of hard faults in multiprocessor applications under energy constraints.
Proceedings of the 16th IEEE International On-Line Testing Symposium (IOLTS 2010), 2010

Energy optimal on-line Self-Test of microprocessors in WSN nodes.
Proceedings of the 28th International Conference on Computer Design, 2010

2009
Instruction-Based Online Periodic Self-Testing of Microprocessors with Floating-Point Units.
IEEE Trans. Dependable Secur. Comput., 2009

Online Periodic Self-Test Scheduling for Real-Time Processor-Based Systems Dependability Enhancement.
IEEE Trans. Dependable Secur. Comput., 2009

Software-Based Self-Testing of Symmetric Shared-Memory Multiprocessors.
IEEE Trans. Computers, 2009

Test Program Generation for Communication Peripherals in Processor-Based SoC Devices.
IEEE Des. Test Comput., 2009

An Input Vector Monitoring Concurrent BIST scheme exploiting .
Proceedings of the 15th IEEE International On-Line Testing Symposium (IOLTS 2009), 2009

Enhanced self-configurability and yield in multicore grids.
Proceedings of the 15th IEEE International On-Line Testing Symposium (IOLTS 2009), 2009

Exploiting Thread-Level Parallelism in Functional Self-Testing of CMT Processors.
Proceedings of the 14th IEEE European Test Symposium, 2009

2008
Systematic Software-Based Self-Test for Pipelined Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2008

An Input Vector Monitoring Concurrent BIST Architecture Based on a Precomputed Test Set.
IEEE Trans. Computers, 2008

Hybrid-SBST Methodology for Efficient Testing of Processor Cores.
IEEE Des. Test Comput., 2008

Low Energy On-Line SBST of Embedded Processors.
Proceedings of the 2008 IEEE International Test Conference, 2008

Soft Errors: System Effects, Protection Techniques and Case Studies.
Proceedings of the Design, Automation and Test in Europe, 2008

Power-Aware Testing and Test Strategies for Low Power Devices.
Proceedings of the Design, Automation and Test in Europe, 2008

Functional Self-Testing for Bus-Based Symmetric Multiprocessors.
Proceedings of the Design, Automation and Test in Europe, 2008

2007
Guest Editorial: Special Section on "Autonomous Silicon Validation and Testing of Microprocessors and Microprocessor-Based Systems".
IEEE Trans. Very Large Scale Integr. Syst., 2007

Functional Processor-Based Testing of Communication Peripherals in Systems-on-Chip.
IEEE Trans. Very Large Scale Integr. Syst., 2007

A methodology for detecting performance faults in microprocessors via performance monitoring hardware.
Proceedings of the 2007 IEEE International Test Conference, 2007

A Functional Self-Test Approach for Peripheral Cores in Processor-Based SoCs.
Proceedings of the 13th IEEE International On-Line Testing Symposium (IOLTS 2007), 2007

Selecting Power-Optimal SBST Routines for On-Line Processor Testing.
Proceedings of the 12th European Test Symposium, 2007

On-Line Periodic Self-Testing of High-Speed Floating-Point Units in Microprocessors.
Proceedings of the 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), 2007

2006
Testability Analysis and Scalable Test Generation for High-Speed Floating-Point Units.
IEEE Trans. Computers, 2006

New JETTA Editors, 2006.
J. Electron. Test., 2006

A Low-Cost SEU Fault Emulation Platform for SRAM-Based FPGAs.
Proceedings of the 12th IEEE International On-Line Testing Symposium (IOLTS 2006), 2006

Optimal periodic testing of intermittent faults in embedded pipelined processor applications.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

Systematic software-based self-test for pipelined processors.
Proceedings of the 43rd Design Automation Conference, 2006

2005
Accumulator-based test generation for robust sequential fault testing in DSP cores in near-optimal time.
IEEE Trans. Very Large Scale Integr. Syst., 2005

A concurrent built-in self-test architecture based on a self-testing RAM.
IEEE Trans. Reliab., 2005

Built-in sequential fault self-testing of array multipliers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

Effective software-based self-test strategies for on-line periodic testing of embedded processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

Software-Based Self-Testing of Embedded Processors.
IEEE Trans. Computers, 2005

A concurrent BIST scheme for on-line/off-line testing based on a pre-computed test set.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

Test Generation Methodology for High-Speed Floating Point Adders.
Proceedings of the 11th IEEE International On-Line Testing Symposium (IOLTS 2005), 2005

Accumulator-Based Weighted Pattern Generation.
Proceedings of the 11th IEEE International On-Line Testing Symposium (IOLTS 2005), 2005

Software-Based Self-Test for Pipelined Processors: A Case Study.
Proceedings of the 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 2005

2004
Low-cost, on-line self-testing of processor cores based on embedded software routines.
Microelectron. J., 2004

Guest Editors' Introduction: Design for Yield and Reliability.
IEEE Des. Test Comput., 2004

2003
Instruction-Based Self-Testing of Processor Cores.
J. Electron. Test., 2003

Easily Testable Cellular Carry Lookahead Adders.
J. Electron. Test., 2003

Guest editorial - testing and verification of communication system-on-chip devices.
IEEE Commun. Mag., 2003

Application and Analysis of RT-Level Software-Based Self-Testing for Embedded Processor Cores.
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003

Low-Cost, On-Line Software-Based Self-Testing of Embedded Processor Cores.
Proceedings of the 9th IEEE International On-Line Testing Symposium (IOLTS 2003), 2003

Low Cost Convolutional Code Based Concurrent Error Detection in FSMs.
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003

Low-Cost Software-Based Self-Testing of RISC Processor Cores.
Proceedings of the 2003 Design, 2003

2002
Effective Software Self-Test Methodology for Processor Cores.
Proceedings of the 2002 Design, 2002

2001
An Effective Deterministic BIST Scheme for Shifter/Accumulator Pairs in Datapaths.
J. Electron. Test., 2001

Robust and Low-Cost BIST Architectures for Sequential Fault Testing in Datapath Multipliers.
Proceedings of the 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April, 2001

Deterministic software-based self-testing of embedded processor cores.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001

2000
Sequential Fault Modeling and Test Pattern Generation for CMOS Iterative Logic Arrays.
IEEE Trans. Computers, 2000

Power-/Energy Efficient BIST Schemes for Processor Data Paths.
IEEE Des. Test Comput., 2000

Low Power/Energy BIST Scheme for Datapaths.
Proceedings of the 18th IEEE VLSI Test Symposium (VTS 2000), 30 April, 2000

Deterministic Built-In Self -Test for Shifters, Adders and ALUs in Datapaths.
Proceedings of the 1st Latin American Test Workshop, 2000

Effective Low Power BIST for Datapaths.
Proceedings of the 2000 Design, 2000

1999
An Effective Built-In Self-Test Scheme for Parallel Multipliers.
IEEE Trans. Computers, 1999

An Effective BIST Architecture for Sequential Fault Testing in Array Multipliers.
Proceedings of the 17th IEEE VLSI Test Symposium (VTS '99), 1999

An Effective BIST Architecture for Fast Multiplier Cores.
Proceedings of the 1999 Design, 1999

1998
Test Generation and Fault Simulation for Cell Fault Model using Stuck-at Fault Model based Test Tools.
J. Electron. Test., 1998

A Totally Self-Checking 1-out-of-3 Code Error Indicator.
J. Electron. Test., 1998

Concurrent Delay Testing in Totally Self-Checking Systems.
J. Electron. Test., 1998

Effective Built-In Self-Test for Booth Multipliers.
IEEE Des. Test Comput., 1998

Robustly Testable Array Multipliers under Realistic Sequential Cell Fault Model.
Proceedings of the 16th IEEE VLSI Test Symposium (VTS '98), 28 April, 1998

1997
Robust Sequential Fault Testing of Iterative Logic Arrays.
Proceedings of the 15th IEEE VLSI Test Symposium (VTS'97), 1997

An Effective BIST Scheme for Arithmetic Logic Units.
Proceedings of the Proceedings IEEE International Test Conference 1997, 1997

1996
Αρχιτεκτονική επαναληπτικών διατάξεων λογικής σε τεχνολογία COS VLSI με τεχνικές σχεδίασης αυξημένης δοκιμαστικόητας
PhD thesis, 1996

Testing CMOS combinational iterative logic arrays for realistic faults.
Integr., 1996

<i>C</i>-Testable modified-Booth multipliers.
J. Electron. Test., 1996

An asynchronous totally self-checking two-rail code error indicator.
Proceedings of the 14th IEEE VLSI Test Symposium (VTS'96), April 28, 1996

An Effective BIST Scheme for Datapaths.
Proceedings of the Proceedings IEEE International Test Conference 1996, 1996

1995
Testing combinational iterative logic arrays for realistic faults.
Proceedings of the 13th IEEE VLSI Test Symposium (VTS'95), April 30, 1995

An Effective BIST Scheme for Booth Multipliers.
Proceedings of the Proceedings IEEE International Test Conference 1995, 1995

An effective BIST scheme for carry-save and carry-propagate array multipliers.
Proceedings of the 4th Asian Test Symposium (ATS '95), 1995


  Loading...