David I. August

Orcid: 0000-0003-3327-1803

According to our database1, David I. August authored at least 104 papers between 1995 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
The Parallel Semantics Program Dependence Graph.
CoRR, 2024

PDIP: Priority Directed Instruction Prefetching.
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024

2023
PROMPT: A Fast and Extensible Memory Profiling Framework.
CoRR, 2023

EMISSARY: Enhanced Miss Awareness Replacement Policy for L2 Instruction Caching.
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023

SPLENDID: Supporting Parallel LLVM-IR Enhanced Natural Decompilation for Interactive Development.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

2022
NOELLE Offers Empowering LLVM Extensions.
Proceedings of the IEEE/ACM International Symposium on Code Generation and Optimization, 2022

2021
Safer at any speed: automatic context-aware safety enhancement for Rust.
Proc. ACM Program. Lang., 2021

NOELLE Offers Empowering LLVM Extensions.
CoRR, 2021

2020
AsmDB: Understanding and Mitigating Front-End Stalls in Warehouse-Scale Computers.
IEEE Micro, 2020

SCAF: a speculation-aware collaborative dependence analysis framework.
Proceedings of the 41st ACM SIGPLAN International Conference on Programming Language Design and Implementation, 2020

Perspective: A Sensible Approach to Speculative Automatic Parallelization.
Proceedings of the ASPLOS '20: Architectural Support for Programming Languages and Operating Systems, 2020

2019
Architectural Support for Containment-based Security.
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019

2018
Hardware Multithreaded Transactions.
Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, 2018

MemoDyn: exploiting weakly consistent data structures for dynamic parallel memoization.
Proceedings of the 27th International Conference on Parallel Architectures and Compilation Techniques, 2018

2017
A collaborative dependence analysis framework.
Proceedings of the 2017 International Symposium on Code Generation and Optimization, 2017

A Generalized Framework for Automatic Scripting Language Parallelization.
Proceedings of the 26th International Conference on Parallel Architectures and Compilation Techniques, 2017

2016
Speculatively Exploiting Cross-Invocation Parallelism.
Proceedings of the 2016 International Conference on Parallel Architectures and Compilation, 2016

2015
DynaSpAM: dynamic spatial architecture mapping using out of order instruction schedules.
Proceedings of the 42nd Annual International Symposium on Computer Architecture, 2015

2014
CGPA: Coarse-Grained Pipelined Accelerators.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
Fast condensation of the program dependence graph.
Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation, 2013

Automatically exploiting cross-invocation parallelism using runtime information.
Proceedings of the 2013 IEEE/ACM International Symposium on Code Generation and Optimization, 2013

Practical automatic loop specialization.
Proceedings of the Architectural Support for Programming Languages and Operating Systems, 2013

2012
Parallelizing Sequential Code.
IEEE Micro, 2012

DAFT: Decoupled Acyclic Fault Tolerance.
Int. J. Parallel Program., 2012

Parcae: a system for flexible parallel execution.
Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation, 2012

Speculative separation for privatization and reductions.
Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation, 2012

A General Approach for Efficiently Accelerating Software-based Dynamic Data Flow Tracking on Commodity Hardware.
Proceedings of the 19th Annual Network and Distributed System Security Symposium, 2012

Runtime asynchronous fault tolerance via speculation.
Proceedings of the 10th Annual IEEE/ACM International Symposium on Code Generation and Optimization, 2012

Automatic speculative DOALL for clusters.
Proceedings of the 10th Annual IEEE/ACM International Symposium on Code Generation and Optimization, 2012

Dynamically managed data for CPU-GPU architectures.
Proceedings of the 10th Annual IEEE/ACM International Symposium on Code Generation and Optimization, 2012

passert: A Tool for Debugging Parallel Programs.
Proceedings of the Computer Aided Verification - 24th International Conference, 2012

From sequential programming to flexible parallel execution.
Proceedings of the 15th International Conference on Compilers, 2012

Automatic Extraction of Parallelism from Sequential Code.
Fundamentals of Multicore Software Development, 2012

2011
EPIC Processors.
Proceedings of the Encyclopedia of Parallel Computing, 2011

A survey of the practice of computational science.
Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis, 2011

Parallelism orchestration using DoPE: the degree of parallelism executive.
Proceedings of the 32nd ACM SIGPLAN Conference on Programming Language Design and Implementation, 2011

Commutative set: a language extension for implicit parallel programming.
Proceedings of the 32nd ACM SIGPLAN Conference on Programming Language Design and Implementation, 2011

Automatic CPU-GPU communication management and optimization.
Proceedings of the 32nd ACM SIGPLAN Conference on Programming Language Design and Implementation, 2011

Bundled execution of recurring traces for energy-efficient general purpose processing.
Proceedings of the 44rd Annual IEEE/ACM International Symposium on Microarchitecture, 2011

Encore: low-cost, fine-grained transient fault recovery.
Proceedings of the 44rd Annual IEEE/ACM International Symposium on Microarchitecture, 2011

Parallel assertions for debugging parallel programs.
Proceedings of the 9th IEEE/ACM International Conference on Formal Methods and Models for Codesign, 2011

The SPARCHS Project: Hardware Support for Software Security.
Proceedings of the First SysSec Workshop 2011, 2011

2010
Programming Multicores: Do Applications Programmers Need to Write Explicitly Parallel Programs?
IEEE Micro, 2010

Scalable Speculative Parallelization on Commodity Clusters.
Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture, 2010

Decoupled software pipelining creates parallelization opportunities.
Proceedings of the CGO 2010, 2010

Speculative parallelization using software multi-threaded transactions.
Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems, 2010

2009
Multicore compilation strategies and challenges.
IEEE Signal Process. Mag., 2009

2008
Speculation.
Proceedings of the Wiley Encyclopedia of Computer Science and Engineering, 2008

Performance scalability of decoupled software pipelining.
ACM Trans. Archit. Code Optim., 2008

Revisiting the Sequential Programming Model for the Multicore Era.
IEEE Micro, 2008

Spice: speculative parallel iteration chunk execution.
Proceedings of the Sixth International Symposium on Code Generation and Optimization (CGO 2008), 2008

Parallel-stage decoupled software pipelining.
Proceedings of the Sixth International Symposium on Code Generation and Optimization (CGO 2008), 2008

Communication optimizations for global multi-threaded instruction scheduling.
Proceedings of the 13th International Conference on Architectural Support for Programming Languages and Operating Systems, 2008

2007
Automatic Instruction-Level Software-Only Recovery.
IEEE Micro, 2007

UNISIM: An Open Simulation Environment and Library for Complex Architecture Design and Collaborative Development.
IEEE Comput. Archit. Lett., 2007

Fault-tolerant typed assembly language.
Proceedings of the ACM SIGPLAN 2007 Conference on Programming Language Design and Implementation, 2007

Shape analysis with inductive recursion synthesis.
Proceedings of the ACM SIGPLAN 2007 Conference on Programming Language Design and Implementation, 2007

Global Multi-Threaded Instruction Scheduling.
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-40 2007), 2007

Revisiting the Sequential Programming Model for Multi-Core.
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-40 2007), 2007

Speculative Decoupled Software Pipelining.
Proceedings of the 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), 2007

Optimizations for Memory Hierarchies.
Proceedings of the Compiler Design Handbook: Optimizations and Machine Code Generation, 2007

2006
The Liberty Simulation Environment: A deliberate approach to high-level system modeling.
ACM Trans. Comput. Syst., 2006

From sequential programs to concurrent threads.
IEEE Comput. Archit. Lett., 2006

A framework for unrestricted whole-program optimization.
Proceedings of the ACM SIGPLAN 2006 Conference on Programming Language Design and Implementation, 2006

Automatic instruction scheduler retargeting by reverse-engineering.
Proceedings of the ACM SIGPLAN 2006 Conference on Programming Language Design and Implementation, 2006

Support for High-Frequency Streaming in CMPs.
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), 2006

Static typing for a faulty lambda calculus.
Proceedings of the 11th ACM SIGPLAN International Conference on Functional Programming, 2006

Exploiting parallelism and structure to accelerate the simulation of chip multi-processors.
Proceedings of the 12th International Symposium on High-Performance Computer Architecture, 2006

Selective Runtime Memory Disambiguation in a Dynamic Binary Translator.
Proceedings of the Compiler Construction, 15th International Conference, 2006

2005
Software-controlled fault tolerance.
ACM Trans. Archit. Code Optim., 2005

Chip multi-processor scalability for single-threaded applications.
SIGARCH Comput. Archit. News, 2005

Compiler Optimization-Space Exploration.
J. Instr. Level Parallelism, 2005

Achieving Structural and Composable Modeling of Complex Systems.
Int. J. Parallel Program., 2005

Automatic Thread Extraction with Decoupled Software Pipelining.
Proceedings of the 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-38 2005), 2005

Design and Evaluation of Hybrid Fault-Detection Systems.
Proceedings of the 32st International Symposium on Computer Architecture (ISCA 2005), 2005

SWIFT: Software Implemented Fault Tolerance.
Proceedings of the 3nd IEEE / ACM International Symposium on Code Generation and Optimization (CGO 2005), 2005

Practical and Accurate Low-Level Pointer Analysis.
Proceedings of the 3nd IEEE / ACM International Symposium on Code Generation and Optimization (CGO 2005), 2005

Recursive data structure profiling.
Proceedings of the 2005 workshop on Memory System Performance, 2005

2004
The Liberty Simulation Environment, version 1.0.
SIGMETRICS Perform. Evaluation Rev., 2004

The liberty structural specification language: a high-level modeling language for component reuse.
Proceedings of the ACM SIGPLAN 2004 Conference on Programming Language Design and Implementation 2004, 2004

RIFLE: An Architectural Framework for User-Centric Information-Flow Security.
Proceedings of the 37th Annual International Symposium on Microarchitecture (MICRO-37 2004), 2004

Achieving Structural and Composable Modeling of Complex Systems.
Proceedings of the 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), 2004

Finding Dominators in Practice.
Proceedings of the Algorithms, 2004

Facilitating reuse in hardware models with enhanced type inference.
Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2004

Exposing Memory Access Regularities Using Object-Relative Memory Profiling.
Proceedings of the 2nd IEEE / ACM International Symposium on Code Generation and Optimization (CGO 2004), 2004

Decoupled Software Pipelining with the Synchronization Array.
Proceedings of the 13th International Conference on Parallel Architectures and Compilation Techniques (PACT 2004), 29 September, 2004

2003
Challenges in Computer Architecture Evaluation.
Computer, 2003

The liberty simulation environment as a pedagogical tool.
Proceedings of the 2003 workshop on Computer architecture education, 2003

Optimizations for a simulator construction system supporting reusable components.
Proceedings of the 40th Design Automation Conference, 2003

Compiler Optimization-Space Exploration.
Proceedings of the 1st IEEE / ACM International Symposium on Code Generation and Optimization (CGO 2003), 2003

2002
Microarchitectural exploration with Liberty.
Proceedings of the 35th Annual International Symposium on Microarchitecture, 2002

Design Tools for Application Specific Embedded Processors.
Proceedings of the Embedded Software, Second International Conference, 2002

2001
Program decision logic optimization using predication and control speculation.
Proc. IEEE, 2001

Retargetable static timing analysis for embedded software.
Proceedings of the 14th International Symposium on Systems Synthesis, 2001

2000
Systematic Compilation for Predicated Execution
PhD thesis, 2000

Accurate and efficient predicate analysis with binary decision diagrams.
Proceedings of the 33rd Annual IEEE/ACM International Symposium on Microarchitecture, 2000

1999
The Partial Reverse If-Conversion Framework for Balancing Control Flow and Predication.
Int. J. Parallel Program., 1999

The Program Decision Logic Approach to Predicated Execution.
Proceedings of the 26th Annual International Symposium on Computer Architecture, 1999

An Architecture Framework for Introducing Predicated Execution into Embedded Microprocessors.
Proceedings of the Euro-Par '99 Parallel Processing, 5th International Euro-Par Conference, Toulouse, France, August 31, 1999

1998
Integrated Predicated and Speculative Execution in the IMPACT EPIC Architecture.
Proceedings of the 25th Annual International Symposium on Computer Architecture, 1998

1997
A Framework for Balancing Control Flow and Predication.
Proceedings of the Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, 1997

Architectural Support for Compiler-Synthesized Dynamic Branch Prediction Strategies: Rationale and Initial Results.
Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture (HPCA '97), 1997

1995
Compiler technology for future microprocessors.
Proc. IEEE, 1995

A Comparison of Full and Partial Predicated Execution Support for ILP Processors.
Proceedings of the 22nd Annual International Symposium on Computer Architecture, 1995


  Loading...