Parthasarathy Ranganathan

Orcid: 0000-0002-9751-5902

Affiliations:
  • Google Inc.
  • Hewlett-Packard Labs (former)


According to our database1, Parthasarathy Ranganathan authored at least 127 papers between 1996 and 2023.

Collaborative distances:

Awards

ACM Fellow

ACM Fellow 2014, "For contributions to the areas of energy efficiency and server architectures.".

IEEE Fellow

IEEE Fellow 2012, "For contributions to energy-efficient datacenters".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Fifty Years of the International Symposium on Computer Architecture: A Data-Driven Retrospective.
IEEE Micro, 2023

Fifty Years of ISCA: A data-driven retrospective on key trends.
CoRR, 2023

Learning Performance-Improving Code Edits.
CoRR, 2023

A Six-Word Story on the Future of VLSI: AI-driven, Software-defined, and Uncomfortably Exciting.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

CDPU: Co-designing Compression and Decompression Processing Units for Hyperscale Systems.
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023

Profiling Hyperscale Big Data Processing.
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023

Hyperscale Hardware Optimized Neural Architecture Search.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

Towards an Adaptable Systems Architecture for Memory Tiering at Warehouse-Scale.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

2022
Warehouse-Scale Video Acceleration.
IEEE Micro, 2022

Learning to Improve Code Efficiency.
CoRR, 2022

CRISP: critical slice prefetching.
Proceedings of the ASPLOS '22: 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Lausanne, Switzerland, 28 February 2022, 2022

2021
Socio-Technological Challenges and Opportunities: Paths Forward.
CoRR, 2021

Beyond malloc efficiency to fleet efficiency: a hugepage-aware memory allocator.
Proceedings of the 15th USENIX Symposium on Operating Systems Design and Implementation, 2021

A Hardware Accelerator for Protocol Buffers.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021

Cores that don't count.
Proceedings of the HotOS '21: Workshop on Hot Topics in Operating Systems, 2021

A hierarchical neural model of data prefetching.
Proceedings of the ASPLOS '21: 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2021


2020
AsmDB: Understanding and Mitigating Front-End Stalls in Warehouse-Scale Computers.
IEEE Micro, 2020

Technical perspective: ASIC clouds: specializing the datacenter.
Commun. ACM, 2020

Thunderbolt: Throughput-Optimized, Quality-of-Service-Aware Power Capping at Scale.
Proceedings of the 14th USENIX Symposium on Operating Systems Design and Implementation, 2020

Neural Execution Engines: Learning to Execute Subroutines.
Proceedings of the Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, 2020

An Imitation Learning Approach for Cache Replacement.
Proceedings of the 37th International Conference on Machine Learning, 2020

Learning Execution through Neural Code fusion.
Proceedings of the 8th International Conference on Learning Representations, 2020

Autonomous Warehouse-Scale Computers.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Data Center Power Oversubscription with a Medium Voltage Power Plane and Priority-Aware Capping.
Proceedings of the ASPLOS '20: Architectural Support for Programming Languages and Operating Systems, 2020

Classifying Memory Access Patterns for Prefetching.
Proceedings of the ASPLOS '20: Architectural Support for Programming Languages and Operating Systems, 2020

2019
On the Spectre and Meltdown Processor Security Vulnerabilities.
IEEE Micro, 2019

Kelp: QoS for Accelerated Machine Learning Systems.
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019

Software-Defined Far Memory in Warehouse-Scale Computers.
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019

2018
The Datacenter as a Computer: Designing Warehouse-Scale Machines, Third Edition
Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers, ISBN: 978-3-031-01761-2, 2018

Learning Memory Access Patterns.
Proceedings of the 35th International Conference on Machine Learning, 2018

Memory Hierarchy for Web Search.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2018

vbench: Benchmarking Video Transcoding in the Cloud.
Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, 2018

Google Workloads for Consumer Devices: Mitigating Data Movement Bottlenecks.
Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, 2018

2017
Attack of the killer microseconds.
Commun. ACM, 2017

End of Moore's Law: Or, a Computer Architect's Mid-life Crisis?
Proceedings of the 24th IEEE International Conference on High Performance Computing, 2017

2016
Improving Resource Efficiency at Scale with Heracles.
ACM Trans. Comput. Syst., 2016

Profiling a Warehouse-Scale Computer.
IEEE Micro, 2016

2015
Heracles: improving resource efficiency at scale.
Proceedings of the 42nd Annual International Symposium on Computer Architecture, 2015

2014
Data Center Energy Efficiency: Improving Energy Efficiency in Data Centers Beyond Technology Scaling.
IEEE Des. Test, 2014

The new (system) balance of power and opportunities for optimizations.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014

2013
Consistent, durable, and safe memory management for byte-addressable non volatile main memory.
Proceedings of the First ACM SIGOPS Conference on Timely Results in Operating Systems, 2013

Meet the walkers: accelerating index traversals for in-memory databases.
Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, 2013

Hardware acceleration for similarity measurement in natural language processing.
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013

Thin servers with smart pipes: designing SoC accelerators for memcached.
Proceedings of the 40th Annual International Symposium on Computer Architecture, 2013

An FPGA memcached appliance.
Proceedings of the 2013 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2013

2012
Free-p: A Practical End-to-End Nonvolatile Memory Protection Mechanism.
IEEE Micro, 2012

(Re)Designing Data-Centric Data Centers.
IEEE Micro, 2012

Enabling Efficient and Scalable Hybrid Memories Using Fine-Granularity DRAM Cache Management.
IEEE Comput. Archit. Lett., 2012

Evaluating FPGA-acceleration for real-time unstructured search.
Proceedings of the 2012 IEEE International Symposium on Performance Analysis of Systems & Software, 2012

BOOM: Enabling mobile memory based low-power server DIMMs.
Proceedings of the 39th International Symposium on Computer Architecture (ISCA 2012), 2012

System-level implications of disaggregated memory.
Proceedings of the 18th IEEE International Symposium on High Performance Computer Architecture, 2012

Exploring latency-power tradeoffs in deep nonvolatile memory hierarchies.
Proceedings of the Computing Frontiers Conference, CF'12, 2012

A limits study of benefits from nanostore-based future data-centric system architectures.
Proceedings of the Computing Frontiers Conference, CF'12, 2012

Totally green: evaluating and designing servers for lifecycle environmental impact.
Proceedings of the 17th International Conference on Architectural Support for Programming Languages and Operating Systems, 2012

2011
Saving the World, One Server at a Time, Together.
Computer, 2011

From Microprocessors to Nanostores: Rethinking Data-Centric Systems.
Computer, 2011

Everything as a Service: Powering the New Information Economy.
Computer, 2011

On energy efficiency for enterprise and data center networks.
IEEE Commun. Mag., 2011

Loosely coupled coordinated management in virtualized data centers.
Clust. Comput., 2011

Topology-aware resource allocation for data-intensive workloads.
Comput. Commun. Rev., 2011

Pegasus: Coordinated Scheduling for Virtualized Accelerator-based Systems.
Proceedings of the 2011 USENIX Annual Technical Conference, 2011

Power-efficient networking for balanced system designs: early experiences with PCIe.
Proceedings of the 4th Workshop on Power-Aware Computing and Systems, 2011

System-level integrated server architectures for scale-out datacenters.
Proceedings of the 44rd Annual IEEE/ACM International Symposium on Microarchitecture, 2011

FREE-p: Protecting non-volatile memory against both hard and soft errors.
Proceedings of the 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), 2011

Consistent and Durable Data Structures for Non-Volatile Byte-Addressable Memory.
Proceedings of the 9th USENIX Conference on File and Storage Technologies, 2011

2010
Guest Editors' Introduction: Datacenter-Scale Computing.
IEEE Micro, 2010

Recipe for efficiency: principles of power-aware computing.
Commun. ACM, 2010

Online detection of utility cloud anomalies using metric distributions.
Proceedings of the IEEE/IFIP Network Operations and Management Symposium, 2010

Evaluating impact of manageability features on device performance.
Proceedings of the 6th International Conference on Network and Service Management, 2010

sNICh: efficient last hop networking in the data center.
Proceedings of the 2010 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, 2010

2009
Server Designs for Warehouse-Computing Environments.
IEEE Micro, 2009

Power Management of Datacenter Workloads Using Per-Core Power Gating.
IEEE Comput. Archit. Lett., 2009

Models and Metrics for Energy-Efficient Computing.
Adv. Comput., 2009

A Power Benchmarking Framework for Network Devices.
Proceedings of the NETWORKING 2009, 2009

Tracking the power in an enterprise decision support system.
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009

Disaggregated memory for expansion and sharing in blade servers.
Proceedings of the 36th International Symposium on Computer Architecture (ISCA 2009), 2009

Green clouds and black swans in the exascale era.
Proceedings of the 2009 IEEE International Symposium on Workload Characterization, 2009

vManage: loosely coupled platform and virtualization management in data centers.
Proceedings of the 6th International Conference on Autonomic Computing, 2009

Industrial perspectives panel.
Proceedings of the 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 2009

GViM: GPU-accelerated virtual machines.
Proceedings of the 3rd ACM Workshop on System-level Virtualization for High Performance Computing, 2009

Sustainable data centers: enabled by supply and demand side management.
Proceedings of the 46th Design Automation Conference, 2009

Energy Efficiency: The New Holy Grail of Data Management Systems Research.
Proceedings of the Fourth Biennial Conference on Innovative Data Systems Research, 2009

2008
Using Asymmetric Single-ISA CMPs to Save Energy on Operating Systems.
IEEE Micro, 2008

Delivering Energy Proportionality with Non Energy-Proportional Systems - Optimizing the Ensemble.
Proceedings of the Workshop on Power Aware Computing and Systems, 2008

A Comparison of High-Level Full-System Power Models.
Proceedings of the Workshop on Power Aware Computing and Systems, 2008

Implementing high availability memory with a duplication cache.
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), 2008

Power management from cores to datacenters: where are we going to get the next ten-fold improvements?
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008

Understanding and Designing New Server Architectures for Emerging Warehouse-Computing Environments.
Proceedings of the 35th International Symposium on Computer Architecture (ISCA 2008), 2008

Fabric convergence implications on systems architecture.
Proceedings of the 14th International Conference on High-Performance Computer Architecture (HPCA-14 2008), 2008

Active storage revisited: the case for power and performance benefits for unstructured data processing applications.
Proceedings of the 5th Conference on Computing Frontiers, 2008

No "power" struggles: coordinated multi-level power management for the data center.
Proceedings of the 13th International Conference on Architectural Support for Programming Languages and Operating Systems, 2008

2007
General-purpose blade infrastructure for configurable system architectures.
Distributed Parallel Databases, 2007

Models and Metrics to Enable Energy-Efficiency Optimizations.
Computer, 2007

Isolation in Commodity Multicore Processors.
Computer, 2007

JouleSort: a balanced energy-efficiency benchmark.
Proceedings of the ACM SIGMOD International Conference on Management of Data, 2007

Configurable isolation: building high availability systems with commodity multi-core processors.
Proceedings of the 34th International Symposium on Computer Architecture (ISCA 2007), 2007

Motivating co-ordination of power management solutions in data centers.
Proceedings of the 2007 IEEE International Conference on Cluster Computing, 2007

Cost-aware scheduling for heterogeneous enterprise machines (CASH'EM).
Proceedings of the 2007 IEEE International Conference on Cluster Computing, 2007

2006
IT Infrastructure in Emerging Markets: Arguing for an End-to-End Perspective.
IEEE Pervasive Comput., 2006

Energy-Aware User Interfaces and Energy-Adaptive Displays.
Computer, 2006

Ensemble-level Power Management for Dense Blade Servers.
Proceedings of the 33rd International Symposium on Computer Architecture (ISCA 2006), 2006

Weatherman: Automated, Online and Predictive Thermal Mapping and Management for Data Centers.
Proceedings of the 3rd International Conference on Autonomic Computing, 2006

2005
Heterogeneous Chip Multiprocessors.
Computer, 2005

Making Scheduling "Cool": Temperature-Aware Workload Placement in Data Centers.
Proceedings of the 2005 USENIX Annual Technical Conference, 2005

Enterprise IT Trends and Implications for Architecture Research.
Proceedings of the 11th International Conference on High-Performance Computer Architecture (HPCA-11 2005), 2005

2004
Investigating the Relationship Between Battery Life and User Acceptance of Dynamic, Energy-Aware Interfaces on Handhelds.
Proceedings of the Mobile Human-Computer Interaction, 2004

Single-ISA Heterogeneous Multi-Core Architectures for Multithreaded Workload Performance.
Proceedings of the 31st International Symposium on Computer Architecture (ISCA 2004), 2004

Energy-aware user interfaces: an evaluation of user acceptance.
Proceedings of the 2004 Conference on Human Factors in Computing Systems, 2004

2003
Processor Power Reduction Via Single-ISA Heterogeneous Multi-Core Architectures.
IEEE Comput. Archit. Lett., 2003

Energy Consumption in Mobile Devices: Why Future Systems Need Requirements-Aware Energy Scale-Down.
Proceedings of the Power-Aware Computer Systems, Third International Workshop, 2003

Energy-Adaptive Display System Designs for Future Mobile Environments.
Proceedings of the First International Conference on Mobile Systems, 2003

Single-ISA Heterogeneous Multi-Core Architectures: The Potential for Processor Power Reduction.
Proceedings of the 36th Annual International Symposium on Microarchitecture, 2003

2002
Topological navigation and qualitative localization for indoor environment using multi-sensory perception.
Robotics Auton. Syst., 2002

RSIM: Simulating Shared-Memory Multiprocessors with ILP Processors.
Computer, 2002

Energy-Driven Statistical Sampling: Detecting Software Hotspots.
Proceedings of the Power-Aware Computer Systems, Second International Workshop, 2002

2000
Reconfigurable caches and their application to media processing.
Proceedings of the 27th International Symposium on Computer Architecture (ISCA 2000), 2000

1999
The Impact of Exploiting Instruction-Level Parallelism on Shared-Memory Multiprocessors.
IEEE Trans. Computers, 1999

Recent advances in memory consistency models for hardware shared memory systems.
Proc. IEEE, 1999

Performance of Image and Video Processing with General-Purpose Processors and Media ISA Extensions.
Proceedings of the 26th Annual International Symposium on Computer Architecture, 1999

1998
Performance of Database Workloads on Shared-Memory Systems with Out-of-Order Processors.
Proceedings of the ASPLOS-VIII Proceedings of the 8th International Conference on Architectural Support for Programming Languages and Operating Systems, 1998

1997
RSIM: Rice simulator for ILP multiprocessors.
SIGARCH Comput. Archit. News, 1997

RSIM: a simulator for shared-memory multiprocessor and uniprocessor systems that exploit ILP.
Proceedings of the 1997 workshop on Computer architecture education, 1997

Using Speculative Retirement and Larger Instruction Windows to Narrow the Performance Gap Between Memory Consistency Models.
Proceedings of the 9th Annual ACM Symposium on Parallel Algorithms and Architectures, 1997

The Interaction of Software Prefetching with ILP Processors in Shared-Memory Systems.
Proceedings of the 24th International Symposium on Computer Architecture, 1997

The Impact of Instruction-Level Parallelism on Multiprocessor Performance and Simulation Methodology.
Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture (HPCA '97), 1997

1996
An Evaluation of Memory Consistency Models for Shared-Memory Systems with ILP Processors.
Proceedings of the ASPLOS-VII Proceedings, 1996


  Loading...