Kiat Seng Yeo

Orcid: 0000-0002-4524-707X

According to our database1, Kiat Seng Yeo authored at least 153 papers between 1998 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Awards

IEEE Fellow

IEEE Fellow 2016, "For contributions to low-power integrated circuit design".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
A 211.4-to-234.8 GHz 25.6-dB Differential Amplifier With Compact Terminal Multi-Coupled and Auxiliary Interstage-Coupled Matching Networks.
IEEE Trans. Circuits Syst. II Express Briefs, March, 2024

A S/C-Band 5-Bit Passive Attenuator With Phase-Lead Compensation in 55-nm Bulk CMOS.
IEEE Trans. Circuits Syst. II Express Briefs, March, 2024

2023
A Linear-in-Decibel Automatic Gain Control Amplifier With Dual Mode Continuous Gain Tuning.
IEEE Trans. Circuits Syst. I Regul. Pap., July, 2023

Distributed Anomaly Detection in Smart Grids: A Federated Learning-Based Approach.
IEEE Access, 2023

Concurrent Multiband CMOS Low Noise Amplifier Design for Internet of Things Applications.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

2021
Decentralized and Lightweight Approach to Detect Eclipse Attacks on Proof of Work Blockchains.
IEEE Trans. Netw. Serv. Manag., 2021

A 60 GHz 8-Way Combined Power Amplifier in 0.18 μm SiGe BiCMOS.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

A digital background calibration scheme for non-linearity of SAR ADC using back-propagation algorithm.
Microelectron. J., 2021

A Monolithically Integrated Single-Input Load-Modulated Balanced Amplifier With Enhanced Efficiency at Power Back-Off.
IEEE J. Solid State Circuits, 2021

A New Degeneration Technique for 60 GHz Triple Cascode Wideband Low Noise Amplifier.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

2020
Design of a Wideband Variable-Gain Amplifier With Self-Compensated Transistor for Accurate dB-Linear Characteristic in 65 nm CMOS Technology.
IEEE Trans. Circuits Syst., 2020

A data-dependent energy reduction algorithm for SAR ADC using self-adaptive window.
Microelectron. J., 2020

An Ultra-Low Power 900 MHz Intermediate Frequency Low Noise Amplifier For Low-Power RF Receivers.
Proceedings of the 33rd IEEE International System-on-Chip Conference, 2020

2019
A Reliability-Oriented Startup Analysis of Injection-Locked Frequency Divider Based on Broken Symmetry Theory.
IEEE Trans. Very Large Scale Integr. Syst., 2019

A Wideband dB-Linear VGA With Temperature Compensation and Active Load.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A Multi-Mode Multi-Coil Coupled Tuned Inductive Peaking ILFD for Low Injected Power With Compact Size.
IEEE Access, 2019

Millimeter-wave Sine Corrugated Fermi Tapered Slot Antenna Array Based on Partial Synthesized Dielectric.
Proceedings of the IEEE Radio and Wireless Symposium, 2019

A K-Band Differential SiGe Stacked Power Amplifier Based on Capacitive Compensation Techniques for Gain Enhancements.
Proceedings of the 62nd IEEE International Midwest Symposium on Circuits and Systems, 2019

An Inductorless 6-GHz Variable Gain Differential Transimpedance Amplifier in 0.18-μm SiGe BiCMOS.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

An Inductorless 5-GHz Differential Dual Regulated Cross-Cascode Transimpedance Amplifier using 40 nm CMOS.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

2018
Design and optimization of the ring oscillator based injection locked frequency dividers.
Microelectron. J., 2018

A 2.4 mW 2.5 GHz multi-phase clock generator with duty cycle imbalance correction in 0.13 µm CMOS.
Integr., 2018

Real-Time Audio Transmission Using Visible Light Communication.
Proceedings of the TENCON 2018, 2018

Low-cost Real-time Video Streaming System Using Off-the-Shelf LEDs.
Proceedings of the TENCON 2018, 2018

Precompliance Test Setup for Pyroelectric Sensor Devices in IoT Applications.
Proceedings of the TENCON 2018, 2018

Evaluation of Low Voltage Rectifier Design Using IGBT, MOSFET, and GaN FETs.
Proceedings of the TENCON 2018, 2018

2017
The Investigation and Optimisation of Phase-Induced Amplitude Attenuation in the Injection-Locked Ring Oscillators-Based Receiver.
Circuits Syst. Signal Process., 2017

Micro-LED arrays for display and communication: Device structure and driver architecture.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

RF mixer design techniques using GaAs process.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

2016
A 57-to-64-GHz 0.094-mm<sup>2</sup> 5-bit Passive Phase Shifter in 65-nm CMOS.
IEEE Trans. Very Large Scale Integr. Syst., 2016

A 11.2 mW 48-62 GHz Low Noise Amplifier in 65 nm CMOS Technology.
Circuits Syst. Signal Process., 2016

Millimetre-wave performance of passive microstrip bandpass filters based on 40nm CMOS technology.
Proceedings of the IEEE 59th International Midwest Symposium on Circuits and Systems, 2016

Design of millimeter-wave transformer balun with isolation circuit in silicon based technology.
Proceedings of the International Symposium on Integrated Circuits, 2016

DC-50 GHz low loss switch matrix design in high resistivity trap-rich SOI.
Proceedings of the International Symposium on Integrated Circuits, 2016

A wideband digital variable gain amplifier with DC offset cancellation in SiGe 0.18µm BiCMOS technology.
Proceedings of the International Symposium on Integrated Circuits, 2016

A 60-GHz power amplifier with efficiency enhancement at power back-off.
Proceedings of the International Symposium on Integrated Circuits, 2016

An inductorless transimpedance amplifier design for 10 Gb/s optical communication using 0.18-µm CMOS.
Proceedings of the International Symposium on Integrated Circuits, 2016

2015
A Low Phase Noise and Wide Tuning Range Millimeter-Wave VCO Using Switchable Coupled VCO-Cores.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

A 65 nm CMOS Power Amplifier With Peak PAE above 18.9% From 57 to 66 GHz Using Synthesized Transformer-Based Matching Network.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

2.5 A 2-to-6GHz Class-AB power amplifier with 28.4% PAE in 65nm CMOS supporting 256QAM.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

2.3 A 130-to-180GHz 0.0035mm<sup>2</sup> SPDT switch with 3.3dB loss and 23.7dB isolation in 65nm bulk CMOS.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

Design of a hybrid neural spike detection algorithm for implantable integrated brain circuits.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

A 32kb 9T SRAM with PVT-tracking read margin enhancement for ultra-low voltage operation.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

A 57 to 66 GHz novel six-port correlator.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

2014
Analysis and Design of Ultra-Wideband Low-Noise Amplifier With Input/Output Bandwidth Optimization and Single-Ended/Differential-Input Reconfigurability.
IEEE Trans. Ind. Electron., 2014

Design and Analysis of Wide Frequency-Tuning-Range CMOS 60 GHz VCO by Switching Inductor Loaded Transformer.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

0.77 fJ/bit/search Content Addressable Memory Using Small Match Line Swing and Automated Background Checking Scheme for Variation Tolerance.
IEEE J. Solid State Circuits, 2014

Design and Analysis of a 2.4 GHz Hybrid Type Automatic amplitude Control VCO with Forward noise Reduction.
J. Circuits Syst. Comput., 2014

A 64×64 1200fps CMOS ion-image sensor with suppressed fixed-pattern-noise for accurate high-throughput DNA sequencing.
Proceedings of the Symposium on VLSI Circuits, 2014

Internet of Things: Trends, challenges and applications.
Proceedings of the 2014 International Symposium on Integrated Circuits (ISIC), 2014

A hybrid NEO-based spike detection algorithm for implantable brain-IC interface applications.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

2013
A High Speed Low Power CAM With a Parity Bit and Power-Gated ML Sensing.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Cross-Coupled Current Conveyor Based CMOS Transimpedance Amplifier for Broadband Data Transmission.
IEEE Trans. Very Large Scale Integr. Syst., 2013

K-band High-PAE Wide-Tuning-Range VCO Using Triple-Coupled LC Tanks.
IEEE Trans. Circuits Syst. II Express Briefs, 2013

A Dividerless PLL With Low Power and Low Reference Spur by Aperture-Phase Detector and Phase-to-Analog Converter.
IEEE Trans. Circuits Syst. I Regul. Pap., 2013

A CMOS Low-Power temperature-robust RSSI using Weak-Inversion limiting amplifiers.
J. Circuits Syst. Comput., 2013

A Low Power Push-Push VCO using Multi-Coupled LC Tanks.
J. Circuits Syst. Comput., 2013

A 12-mW 40-60-GHz 0.18- $\mu {\hbox {m}}$ BiCMOS Oscillator-Less Self-Demodulator for Short-Range Software-Defined Transceivers.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2013

A low power wideband differential transimpedance amplifier for optical receivers in 0.18-μm CMOS.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013

Optical infrastructure for visible light communication for public housing and commercial buildings.
Proceedings of the 2013 IEEE Symposium on Computers and Communications, 2013

MIMO-diversity switching techniques for digital transmission in visible light communication.
Proceedings of the 2013 IEEE Symposium on Computers and Communications, 2013

A current-mode stimulator circuit with two-step charge balancing background calibration.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

An improved read/write scheme for anchorless NEMS-CMOS non-volatile memory.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Design and array implementation a cantilever-based non-volatile memory utilizing vibrational reset.
Proceedings of the European Solid-State Device Research Conference, 2013

Design of a power-efficient CAM using automated background checking scheme for small match line swing.
Proceedings of the ESSCIRC 2013, 2013

A 24 GHz reconfigurable frequency synthesizer for 60 GHz WPAN.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

2012
A Low-Power Single-Phase Clock Multiband Flexible Divider.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Design Exploration of Hybrid CMOS and Memristor Circuit by New Modified Nodal Analysis.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Design of a Ku-band Low-Phase-Noise VCO Using the Dual LC Tanks.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

A Dual-Loop Clock and Data Recovery Circuit With Compact Quarter-Rate CMOS Linear Phase Detector.
IEEE Trans. Circuits Syst. I Regul. Pap., 2012

Sensing Margin Enhancement Techniques for Ultra-Low-Voltage SRAMs Utilizing a Bitline-Boosting Current and Equalized Bitline Leakage.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

A low power low phase noise dual-band multiphase VCO.
Microelectron. J., 2012

A robust 900 MHz RFID Reader Chip with RC-Calibration.
J. Circuits Syst. Comput., 2012

Foreword.
J. Circuits Syst. Comput., 2012

A 160 nW 25 kS/s 9-bit SAR ADC for neural signal recording applications.
Proceedings of the 10th IEEE International NEWCAS Conference, 2012

A 57∼66GHz CMOS voltage-controlled oscillator using tunable differential inductor.
Proceedings of the International SoC Design Conference, 2012

Low power implantable neural recording front-end.
Proceedings of the International SoC Design Conference, 2012

An optimum RF link for implantable devices with rectification of transmission errors.
Proceedings of the International SoC Design Conference, 2012

Designs of a free-space white-LED mass-storage transceiver for SD-card file transfer.
Proceedings of the Workshops Proceedings of the Global Communications Conference, 2012

High-speed CMOS image sensor for high-throughput lensless microfluidic imaging system.
Proceedings of the Sensors, 2012

A super-resolution CMOS image sensor for bio-microfluidic imaging.
Proceedings of the 2012 IEEE Biomedical Circuits and Systems Conference, 2012

A low power millimetre-wave VCO in 0.18 µm SiGe BiCMOS technology.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

Integrated circuits design for neural recording sensor interface.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

A 60GHz on-chip antenna in standard CMOS silicon Technology.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

Low-power high-speed dual-modulus prescaler for Gb/s applications.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

A 96×96 1V ultra-low power CMOS image sensor for biomedical application.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

Design of quarter-wavelength resonator filters with coupling controllable paths.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

On-chip tunable low pass filter with improved stopband using new cross coupled topology.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

Recent progress in silicon-based millimeter-wave power amplifier.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

A 9.87 nW 1 kS/s 8.7 ENOB SAR ADC for implantable epileptic seizure detection microsystems.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

A 12-GHz high output power amplifier using 0.18µm SiGe BiCMOS for low power applications.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

2011
Power-Efficient Explicit-Pulsed Dual-Edge Triggered Sense-Amplifier Flip-Flops.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Design and Sensitivity Analysis of a New Current-Mode Sense Amplifier for Low-Power SRAM.
IEEE Trans. Very Large Scale Integr. Syst., 2011

A Low-Loss Image-Reject Mixer Using Source Follower Isolation Method for DRM/DAB Tuner Applications.
IEEE Trans. Circuits Syst. II Express Briefs, 2011

An 8T Differential SRAM With Improved Noise Margin for Bit-Interleaving in 65 nm CMOS.
IEEE Trans. Circuits Syst. I Regul. Pap., 2011

Adaptive priority toggle asynchronous tree arbiter for AER-based image sensor.
Proceedings of the IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011

A low power wide tuning range VCO with coupled LC tanks.
Proceedings of the IEEE 24th International SoC Conference, SOCC 2011, Taipei, Taiwan, 2011

Ultra low-power high-speed flexible Probabilistic Adder for Error-Tolerant Applications.
Proceedings of the International SoC Design Conference, 2011

A double-quadrature down-conversion mixer in 0.18 μm SiGe BiCMOS process.
Proceedings of the International SoC Design Conference, 2011

Design consideration for 60 GHz SiGe power amplifier with ESD protection.
Proceedings of the International SoC Design Conference, 2011

A DC to 14GHz fully differential amplifier for wideband low power applications.
Proceedings of the International SoC Design Conference, 2011

SiGe BiCMOS power amplifiers for 60GHz ISM band applications.
Proceedings of the International SoC Design Conference, 2011

Wide center-tape balun for 60 GHz silicon RF ICs.
Proceedings of the International SoC Design Conference, 2011

A 60GHz defected ground power divider using SiGe BiCMOS technology.
Proceedings of the International SoC Design Conference, 2011

A 60GHz BiCMOS self-demodulator with injection locked oscillator.
Proceedings of the International SoC Design Conference, 2011

Ultra low power active 60 GHz Bi-CMOS down-conversion mixer.
Proceedings of the International SoC Design Conference, 2011

A 3.1-8 GHz CMOS UWB front-end receiver.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

A low-power CAM with efficient power and delay trade-off.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

A comparative study of state-of-the-art low-power CAM match-line sense amplifier designs.
Proceedings of the 21st ACM Great Lakes Symposium on VLSI 2010, 2011

A new match line sensing technique in Content Addressable Memory.
Proceedings of the 2011 IEEE Symposium on Low-Power and High-Speed Chips, 2011

A 2.1-GHz PLL with -80dBc/-74dBc reference spur based on aperture-phase detector and phase-to-analog converter.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2011

2010
Design of Low-Power High-Speed Truncation-Error-Tolerant Adder and Its Application in Digital Signal Processing.
IEEE Trans. Very Large Scale Integr. Syst., 2010

Design of a CMOS Broadband Transimpedance Amplifier With Active Feedback.
IEEE Trans. Very Large Scale Integr. Syst., 2010

A Wideband Low Power Low-Noise Amplifier in CMOS Technology.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

Design and Analysis of Ultra Low Power True Single Phase Clock CMOS 2/3 Prescaler.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

Criterion to Evaluate Input-Offset Voltage of a Latch-Type Sense Amplifier.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

An Energy-Aware CMOS Receiver Front End for Low-Power 2.4-GHz Applications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

A 1.8-V 3.6-mW 2.4-GHz fully integrated CMOS frequency synthesizer for IEEE 802.15.4.
Proceedings of the 18th IEEE/IFIP VLSI-SoC 2010, 2010

A 1.8-V 3.6-mW 2.4-GHz Fully Integrated CMOS Frequency Synthesizer for the IEEE 802.15.4.
Proceedings of the VLSI-SoC: Forward-Looking Trends in IC and Systems Design, 2010

A 1-V CMOS Ultralow-Power Receiver Front End for the IEEE 802.15.4 Standard Using Tuned Passive Mixer Output Pole.
Proceedings of the VLSI-SoC: Forward-Looking Trends in IC and Systems Design, 2010

A 1-V CMOS ultralow-power receiver front end for the IEEE 802.15.4 standard using tuned passive mixer output pole.
Proceedings of the 18th IEEE/IFIP VLSI-SoC 2010, 2010

Low power millimeter wave active sige sub-harmonic up-conversion mixer with ultra low driving power.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

An 8T SRAM cell with column-based dynamic supply voltage for bit-interleaving.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

Low IR drop and low power parallel CAM design using gated power transistor technique.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

2008
Complex Shaped On-Wafer Interconnects Modeling for CMOS RFICs.
IEEE Trans. Very Large Scale Integr. Syst., 2008

An 8-bit 200-MSample/s Pipelined ADC With Mixed-Mode Front-End S/H Circuit.
IEEE Trans. Circuits Syst. I Regul. Pap., 2008

Hybrid-Mode SRAM Sense Amplifiers: New Approach on Transistor Sizing.
IEEE Trans. Circuits Syst. II Express Briefs, 2008

Integrated Circuit Design Research Ranking for Worldwide Universities.
J. Circuits Syst. Comput., 2008

Spicesoft: Automated Tool for Sensitivity Analysis, Performance Analysis, and Inverse Performance Analysis of Digital Circuits.
J. Circuits Syst. Comput., 2008

Body-bootstrapped-buffer circuit for CMOS static power reduction.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2008

A full current-mode sense amplifier for low-power SRAM applications.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2008

2007
Broad-Band Design Techniques for Transimpedance Amplifiers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2007

Distortion of pulsed signals in carbon nanotube interconnects.
Microelectron. J., 2007

2006
A novel CMOS low-noise amplifier design for 3.1- to 10.6-GHz ultra-wide-band wireless receivers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2006

Cmos Even Harmonic Switching mixer for Direct Conversion Receivers.
J. Circuits Syst. Comput., 2006

A New Phase Noise Model for TSPC based divider.
Proceedings of the IFIP VLSI-SoC 2006, 2006

A CMOS Mixed-Mode Sample-and-Hold Circuit for Pipelined ADCs.
Proceedings of the VLSI-SoC: Research Trends in VLSI and Systems on Chip, 2006

A 200-MHz CMOS Mixed-Mode Sample-and-Hold Circuit for Pipelined ADCs.
Proceedings of the IFIP VLSI-SoC 2006, 2006

2005
Design of a low power wide-band high resolution programmable frequency divider.
IEEE Trans. Very Large Scale Integr. Syst., 2005

Equivalent circuit model of on-wafer CMOS interconnects for RFICs.
IEEE Trans. Very Large Scale Integr. Syst., 2005

A modified architecture used for input matching in CMOS low-noise amplifiers.
IEEE Trans. Circuits Syst. II Express Briefs, 2005

Fully integrated CMOS fractional-N frequency divider for wide-band mobile applications with spurs reduction.
IEEE Trans. Circuits Syst. I Regul. Pap., 2005

An Ultra Low-power Current-mode Sense Amplifier for Sram Applications.
J. Circuits Syst. Comput., 2005

A new 5 GHz CMOS dual-modulus prescaler.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

A low-power static dual edge-triggered flip-flop using an output-controlled discharge configuration.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

A 5GHz to 6GHz integrated differential LNA.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

A novel methodology for the design of LC tank VCO with low phase noise.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

2004
RF CMOS low-phase-noise LC oscillator through memory reduction tail transistor.
IEEE Trans. Circuits Syst. II Express Briefs, 2004

Investigating the frequency dependence elements of CMOS RFIC interconnects for physical modeling.
Proceedings of the Sixth International Workshop on System-Level Interconnect Prediction (SLIP 2004), 2004

2003
A 52 GHz VCO with Low Phase Noise Implemented in SiGe BiCMOS Technology.
Proceedings of the 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications (IWSOC'03), 30 June, 2003

2002
An interconnect optimized floorplanning of a scalar product macrocell.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

1999
A low-power 16×16-b parallel multiplier utilizing pass-transistor logic.
IEEE J. Solid State Circuits, 1999

1998
A charge-trapping-based technique to design low-voltage BiCMOS logic circuits.
IEEE J. Solid State Circuits, 1998


  Loading...