Anh-Tuan Do

Orcid: 0000-0002-8320-6818

According to our database1, Anh-Tuan Do authored at least 86 papers between 2008 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
A 47 TOPS/W 10T SRAM-Based Multi-Bit Signed CIM With Self-Adaptive Bias Voltage Generator for Edge Computing Applications.
IEEE Trans. Circuits Syst. II Express Briefs, September, 2023

Achieving Green AI with Energy-Efficient Deep Learning Using Neuromorphic Computing.
Commun. ACM, July, 2023

Design of a Current Sense Amplifier with Dynamic Reference for Reliable Resistive Memory.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

0.85 mW, 8-bit, 1GS/s, 58dB SFDR Cryogenic DAC for Superconducting Qubit Control Applications.
Proceedings of the 20th International SoC Design Conference, 2023

Cryogenic Characterization of 40nm CMOS for Quantum Control Applications.
Proceedings of the 20th International SoC Design Conference, 2023

LAXOR: A Bit-Accurate BNN Accelerator with Latch-XOR Logic for Local Computing.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023

282-to-607 TOPS/W, 7T-SRAM Based CiM with Reconfigurable Column SAR ADC for Neural Network Processing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

A 110nW Always-on Keyword Spotting Chip using Spiking CNN in 40nm CMOS.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

1V, 1.13μm pixel pitch Liquid Crystal Driver with Charge-Balancing Scheme for SLM Applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Hardware-efficient Softmax Approximation for Self-Attention Networks.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Stability Analysis of 6T SRAM at Deep Cryogenic Temperature for Quantum Computing Applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

1.7pJ/SOP Neuromorphic Processor with Integrated Partial Sum Routers for In-Network Computing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

A 129.83 TOPS/W Area Efficient Digital SOT/STT MRAM-Based Computing-In-Memory for Advanced Edge AI Chips.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

4b/4b/8b Precision Charge-Domain 8T-SRAM Based CiM for CNN Processing.
Proceedings of the 5th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2023

2022
A 2.5 μW KWS Engine With Pruned LSTM and Embedded MFCC for IoT Applications.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

Corrigendum to "Coreset: Hierarchical neuromorphic computing supporting large-scale neural networks with improved resource efficiency" [Neurocomputing (2022) 128-140].
Neurocomputing, 2022

Coreset: Hierarchical neuromorphic computing supporting large-scale neural networks with improved resource efficiency.
Neurocomputing, 2022

Temperature Compensation on SRAM-Based Computation in Memory Array.
Proceedings of the 19th International SoC Design Conference, 2022

Noise-Aware and Lightweight LSTM for Keyword Spotting Applications.
Proceedings of the 19th International SoC Design Conference, 2022

Linearity Characterization of Hybrid Driving Scheme for Spatial Light Modulator System.
Proceedings of the 19th International SoC Design Conference, 2022

A 1800μm<sup>2</sup>, 953Gbps/W AES Accelerator for IoT Applications in 40nm CMOS.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Recovering Accuracy of RRAM-based CIM for Binarized Neural Network via Chip-in-the-loop Training.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

0.08mm<sup>2</sup> 128nW MFCC Engine for Ultra-low Power, Always-on Smart Sensing Applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

2021
A Low Power and Low Area Router With Congestion-Aware Routing Algorithm for Spiking Neural Network Hardware Implementations.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

A Low-Cost High-Throughput Digital Design of Biorealistic Spiking Neuron.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

Energy Efficient 0.5V 4.8pJ/SOP 0.93μW Leakage/Core Neuromorphic Processor Design.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

A 5.28-mm² 4.5-pJ/SOP Energy-Efficient Spiking Neural Network Hardware With Reconfigurable High Processing Speed Neuron Core and Congestion-Aware Router.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

An Improved Deterministic Stochastic MAC (SC-MAC) for High Power Efficiency Design.
Proceedings of the VLSI-SoC: Technology Advancement on SoC Design, 2021

A 25 TOPS/W High Power Efficiency Deterministic and Split Stochastic MAC (SC-MAC) Design.
Proceedings of the 29th IFIP/IEEE International Conference on Very Large Scale Integration, 2021

Efficient Implementation of Activation Functions for LSTM accelerators.
Proceedings of the 29th IFIP/IEEE International Conference on Very Large Scale Integration, 2021

An Energy-Efficient Convolution Unit for Depthwise Separable Convolutional Neural Networks.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A 2.1 pJ/SOP 40nm SNN Accelerator Featuring On-chip Transfer Learning using Delta STDP.
Proceedings of the 51st IEEE European Solid-State Device Research Conference, 2021

A Backpropagation Extreme Learning Machine Approach to Fast Training Neural Network-Based Side-Channel Attack.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2021

2020
Energy-Efficient Data-Aware SRAM Design Utilizing Column-Based Data Encoding.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

Scalable Block-Based Spiking Neural Network Hardware with a Multiplierless Neuron Model.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Ultra-Low Leakage, High Fan-Out Neuro Connection Map with TCAM-Based LUT, Localized Priority Encoder and Decoder-Less SRAM.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Design and Characterization of Radiation-Hardened MCU for Space Application using Error Correction SRAM and Glitch Removal Clock Buffer Cell.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Area and Energy Efficient 2D Max-Pooling For Convolutional Neural Network Hardware Accelerator.
Proceedings of the 46th Annual Conference of the IEEE Industrial Electronics Society, 2020

Post-Silicon Validation Methodology for Resource-Constrained Neuromorphic Hardware.
Proceedings of the 46th Annual Conference of the IEEE Industrial Electronics Society, 2020

Aggressive Leakage Current Reduction for Embedded MRAM Using Block-Level Power Gating.
Proceedings of the 46th Annual Conference of the IEEE Industrial Electronics Society, 2020

NCPower: Power Modelling for NVM-based Neuromorphic Chip.
Proceedings of the International Conference on Neuromorphic Systems, 2020

0.5V 4.8 pJ/SOP 0.93µW Leakage/core Neuromorphic Processor with Asynchronous NoC and Reconfigurable LIF Neuron.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2020

2019
An Area-Efficient 128-Channel Spike Sorting Processor for Real-Time Neural Recording With 0.175µW/Channel in 65-nm CMOS.
IEEE Trans. Very Large Scale Integr. Syst., 2019

A Wireless Multi-Channel Peripheral Nerve Signal Acquisition System-on-Chip.
IEEE J. Solid State Circuits, 2019

Folded and Deterministic Stochastic MAC for High Accuracy and Hardware Efficient Convolution Function.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

Ower and Area Efficient Router with Automated Clock Gating for Neuromorphic Computing.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

Coverage Driven Verification Methodology for Asynchronous Neuromorphic Routers.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

0.8% BER 1.2 pJ/bit Arbiter-based PUF for Edge Computing Using Phase-Difference Accumulation Technique.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

Block-Based Spiking Neural Network Hardware with Deme Genetic Algorithm.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

0.54 pJ/bit, 15Mb/s True Random Number Generator Using Probabilistic Delay Cell for Edge Computing Applications.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2019

2017
25 fJ/bit, 5Mb/s, 0.3 V true random number generator with capacitively-coupled chaos system and dual-edge sampling scheme.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2017

2016
0.2 V 8T SRAM With PVT-Aware Bitline Sensing and Column-Based Data Randomization.
IEEE J. Solid State Circuits, 2016

A 128-channel spike sorting processor featuring 0.175 µW and 0.0033 mm<sup>2</sup> per channel in 65-nm CMOS.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

A 0.3 pJ/access 8T data-aware SRAM utilizing column-based data encoding for ultra-low power applications.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2016

Live demonstration: A 128-channel spike sorting processor featuring 0.175 μW and 0.0033 mm<sup>2</sup> per Channel in 65-nm CMOS.
Proceedings of the 2016 IEEE Asia Pacific Conference on Circuits and Systems, 2016

2015
Design of an Ultra-low Voltage 9T SRAM With Equalized Bitline Leakage and CAM-Assisted Energy Efficiency Improvement.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

Design of a hybrid neural spike detection algorithm for implantable integrated brain circuits.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

A 32kb 9T SRAM with PVT-tracking read margin enhancement for ultra-low voltage operation.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

2014
0.77 fJ/bit/search Content Addressable Memory Using Small Match Line Swing and Automated Background Checking Scheme for Variation Tolerance.
IEEE J. Solid State Circuits, 2014

Internet of Things: Trends, challenges and applications.
Proceedings of the 2014 International Symposium on Integrated Circuits (ISIC), 2014

A hybrid NEO-based spike detection algorithm for implantable brain-IC interface applications.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

0.2 V 8T SRAM with improved bitline sensing using column-based data randomization.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2014

2013
A High Speed Low Power CAM With a Parity Bit and Power-Gated ML Sensing.
IEEE Trans. Very Large Scale Integr. Syst., 2013

A current-mode stimulator circuit with two-step charge balancing background calibration.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

An improved read/write scheme for anchorless NEMS-CMOS non-volatile memory.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Design and array implementation a cantilever-based non-volatile memory utilizing vibrational reset.
Proceedings of the European Solid-State Device Research Conference, 2013

Design of a power-efficient CAM using automated background checking scheme for small match line swing.
Proceedings of the ESSCIRC 2013, 2013

2012
Sensing Margin Enhancement Techniques for Ultra-Low-Voltage SRAMs Utilizing a Bitline-Boosting Current and Equalized Bitline Leakage.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

A 160 nW 25 kS/s 9-bit SAR ADC for neural signal recording applications.
Proceedings of the 10th IEEE International NEWCAS Conference, 2012

A 57∼66GHz CMOS voltage-controlled oscillator using tunable differential inductor.
Proceedings of the International SoC Design Conference, 2012

High energy efficient ultra-low voltage SRAM design: Device, circuit, and architecture.
Proceedings of the International SoC Design Conference, 2012

Low power implantable neural recording front-end.
Proceedings of the International SoC Design Conference, 2012

A 9.87 nW 1 kS/s 8.7 ENOB SAR ADC for implantable epileptic seizure detection microsystems.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

Modeling and optimization of a combined cooling, heating and power plant system.
Proceedings of the American Control Conference, 2012

2011
Design and Sensitivity Analysis of a New Current-Mode Sense Amplifier for Low-Power SRAM.
IEEE Trans. Very Large Scale Integr. Syst., 2011

An 8T Differential SRAM With Improved Noise Margin for Bit-Interleaving in 65 nm CMOS.
IEEE Trans. Circuits Syst. I Regul. Pap., 2011

Adaptive priority toggle asynchronous tree arbiter for AER-based image sensor.
Proceedings of the IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011

A low-power CAM with efficient power and delay trade-off.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

A comparative study of state-of-the-art low-power CAM match-line sense amplifier designs.
Proceedings of the 21st ACM Great Lakes Symposium on VLSI 2010, 2011

A new match line sensing technique in Content Addressable Memory.
Proceedings of the 2011 IEEE Symposium on Low-Power and High-Speed Chips, 2011

2010
Criterion to Evaluate Input-Offset Voltage of a Latch-Type Sense Amplifier.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

A 16Kb 10T-SRAM with 4x read-power reduction.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

An 8T SRAM cell with column-based dynamic supply voltage for bit-interleaving.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

Low IR drop and low power parallel CAM design using gated power transistor technique.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

2008
Hybrid-Mode SRAM Sense Amplifiers: New Approach on Transistor Sizing.
IEEE Trans. Circuits Syst. II Express Briefs, 2008

A full current-mode sense amplifier for low-power SRAM applications.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2008


  Loading...