Kuen Hung Tsoi

Orcid: 0000-0002-6856-6727

According to our database1, Kuen Hung Tsoi authored at least 46 papers between 2000 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Remarn: A Reconfigurable Multi-threaded Multi-core Accelerator for Recurrent Neural Networks.
ACM Trans. Reconfigurable Technol. Syst., March, 2023

2022
Recurrent Neural Networks With Column-Wise Matrix-Vector Multiplication on FPGAs.
IEEE Trans. Very Large Scale Integr. Syst., 2022

2020
On the challenges in programming mixed-precision deep neural networks.
Proceedings of the 4th ACM SIGPLAN International Workshop on Machine Learning and Programming Languages, 2020

A Reconfigurable Multithreaded Accelerator for Recurrent Neural Networks.
Proceedings of the International Conference on Field-Programmable Technology, 2020

2018
Correlation Coefficient Based Cluster Data Preprocessing and LSTM Prediction Model for Time Series Data in Large Aircraft Test Flights.
Proceedings of the Smart Computing and Communication - Third International Conference, 2018

Reconfigurable Hardware Generation for Tensor Flow Models of CNN Algorithms on a Heterogeneous Acceleration Platform.
Proceedings of the Smart Computing and Communication - Third International Conference, 2018

Custom machine learning architectures: towards realtime anomaly detection for flight testing.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018

2016
An FPGA Architecture and CAD Flow Supporting Dynamically Controlled Power Gating.
IEEE Trans. Very Large Scale Integr. Syst., 2016

2013
Dimensionality Reduction in Controlling Articulated Snake Robot for Endoscopy Under Dynamic Active Constraints.
IEEE Trans. Robotics, 2013

Parallel neighbourhood search on many-core platforms.
Int. J. Comput. Sci. Eng., 2013

Acceleration of real-time Proximity Query for dynamic active constraints.
Proceedings of the 2013 International Conference on Field-Programmable Technology, 2013

Reconfigurable Acceleration of Short Read Mapping.
Proceedings of the 21st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2013

Hardware Acceleration of Genetic Sequence Alignment.
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2013

2012
Modelling reconfigurable systems in event driven simulation.
SIGARCH Comput. Archit. News, 2012

Session types: towards safe and fast reconfigurable programming.
SIGARCH Comput. Archit. News, 2012

Self-Adaptive Heterogeneous Cluster with Wireless Network.
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2012

FPGA based memory efficient high resolution stereo vision system for video tolling.
Proceedings of the 2012 International Conference on Field-Programmable Technology, 2012

Efficient Communication for FPGA Clusters.
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2012

2011
Power profiling and optimization for heterogeneous multi-core systems.
SIGARCH Comput. Archit. News, 2011

Reconfiguring Distributed Applications in FPGA Accelerated Cluster with Wireless Networking.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2011

A comparison of FPGAs, GPUS and CPUS for Smith-Waterman algorithm (abstract only).
Proceedings of the ACM/SIGDA 19th International Symposium on Field Programmable Gate Arrays, 2011

CusComNet: A customisable network for reconfigurable heterogeneous clusters.
Proceedings of the 22nd IEEE International Conference on Application-specific Systems, 2011

FPGA-Based Smith-Waterman Algorithm: Analysis and Novel Design.
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2011

2010
Programming framework for clusters with heterogeneous accelerators.
SIGARCH Comput. Archit. News, 2010

Efficient reconfigurable design for pricing asian options.
SIGARCH Comput. Archit. News, 2010

Dynamic scheduling Monte-Carlo framework for multi-accelerator heterogeneous clusters.
Proceedings of the International Conference on Field-Programmable Technology, 2010

Towards an embedded biologically-inspired machine vision processor.
Proceedings of the International Conference on Field-Programmable Technology, 2010

Convex models for accelerating applications on FPGA-based clusters.
Proceedings of the International Conference on Field-Programmable Technology, 2010

Reconfigurable Control Variate Monte-Carlo Designs for Pricing Exotic Options.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010

Axel: a heterogeneous cluster with FPGAs and GPUs.
Proceedings of the ACM/SIGDA 18th International Symposium on Field Programmable Gate Arrays, 2010

2008
Map-reduce as a Programming Model for Custom Computing Machines.
Proceedings of the 16th IEEE International Symposium on Field-Programmable Custom Computing Machines, 2008

2007
A flexible arithmetic system for simulation.
PhD thesis, 2007

High performance physical random number generator.
IET Comput. Digit. Tech., 2007

2005
Field programmable gate array technology for robotics applications.
Proceedings of the IEEE International Conference on Robotics and Biomimetics, 2005

Reconfigurable Acceleration for Monte Carlo Based Financial Simulation.
Proceedings of the 2005 IEEE International Conference on Field-Programmable Technology, 2005

Mullet - A Parallel Multiplier Generator.
Proceedings of the 2005 International Conference on Field Programmable Logic and Applications (FPL), 2005

Computer Arithmetic Synthesis Technologies on Reconfigurable Platforms.
Proceedings of the 2005 International Conference on Field Programmable Logic and Applications (FPL), 2005

2004
IP Generation for an FPGA-Based Audio DAC Sigma-Delta Converter.
Proceedings of the Field Programmable Logic and Application, 2004

An Arithmetic Library and Its Application to the N-body Problem.
Proceedings of the 12th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2004), 2004

2003
Arbitrary function approximation in HDLs with application to the N-body problem.
Proceedings of the 2003 IEEE International Conference on Field-Programmable Technology, 2003

An FPGA-based re-configurable 24-bit 96kHz sigma-delta audio DAC.
Proceedings of the 2003 IEEE International Conference on Field-Programmable Technology, 2003

Compact FPGA-based True and Pseudo Random Number Generators.
Proceedings of the 11th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2003), 2003

2002
Fly - A Modifiable Hardware Compiler.
Proceedings of the Field-Programmable Logic and Applications, 2002

A Massively Parallel RC4 Key Search Engine.
Proceedings of the 10th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2002), 2002

2001
Tradeoffs in Parallel and Serial Implementations of the International Data Encryption Algorithm IDEA.
Proceedings of the Cryptographic Hardware and Embedded Systems, 2001

2000
A Bit-Serial Implementation of the International Data Encryption Algorithm IDEA.
Proceedings of the 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2000), 2000


  Loading...