Eriko Nurvitadhi

Orcid: 0000-0002-2347-9590

According to our database1, Eriko Nurvitadhi authored at least 74 papers between 2003 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
High Throughput FPGA-Based Object Detection via Algorithm-Hardware Co-Design.
ACM Trans. Reconfigurable Technol. Syst., March, 2024

2023
Exploiting the Common Case When Accelerating Input-Dependent Stream Processing by FPGA.
IEEE Trans. Computers, May, 2023

Remarn: A Reconfigurable Multi-threaded Multi-core Accelerator for Recurrent Neural Networks.
ACM Trans. Reconfigurable Technol. Syst., March, 2023

A Fast and Flexible FPGA-based Accelerator for Natural Language Processing Neural Networks.
ACM Trans. Archit. Code Optim., March, 2023

2022
Recurrent Neural Networks With Column-Wise Matrix-Vector Multiplication on FPGAs.
IEEE Trans. Very Large Scale Integr. Syst., 2022

Stratix 10 NX Architecture.
ACM Trans. Reconfigurable Technol. Syst., 2022

FPGA-based AI Smart NICs for Scalable Distributed AI Training Systems.
CoRR, 2022

FPGA-Based AI Smart NICs for Scalable Distributed AI Training Systems.
IEEE Comput. Archit. Lett., 2022

Architecture and Application Co-Design for Beyond-FPGA Reconfigurable Acceleration Devices.
IEEE Access, 2022

RAD-Sim: Rapid Architecture Exploration for Novel Reconfigurable Acceleration Devices.
Proceedings of the 32nd International Conference on Field-Programmable Logic and Applications, 2022

HPVM2FPGA: Enabling True Hardware-Agnostic FPGA Programming.
Proceedings of the 33rd IEEE International Conference on Application-specific Systems, 2022

2021
Specializing FGPU for Persistent Deep Learning.
ACM Trans. Reconfigurable Technol. Syst., 2021

Enhancing High-Level Synthesis Using a Meta-Programming Approach.
IEEE Trans. Computers, 2021

FlexScore: Quantifying Flexibility.
IEEE Comput. Archit. Lett., 2021

Cache Compression with Efficient in-SRAM Data Comparison.
Proceedings of the IEEE International Conference on Networking, Architecture and Storage, 2021

Specializing for Efficiency: Customizing AI Inference Processors on FPGAs.
Proceedings of the International Conference on Microelectronics, 2021

DO-GPU: Domain Optimizable Soft GPUs.
Proceedings of the 31st International Conference on Field-Programmable Logic and Applications, 2021

End-to-End FPGA-based Object Detection Using Pipelined CNN and Non-Maximum Suppression.
Proceedings of the 31st International Conference on Field-Programmable Logic and Applications, 2021

Stratix 10 NX Architecture and Applications.
Proceedings of the FPGA '21: The 2021 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28, 2021

Compute-Capable Block RAMs for Efficient Deep Learning Acceleration on FPGAs.
Proceedings of the 29th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2021

2020
A Reconfigurable Multithreaded Accelerator for Recurrent Neural Networks.
Proceedings of the International Conference on Field-Programmable Technology, 2020

Beyond Peak Performance: Comparing the Real Performance of AI-Optimized FPGAs and GPUs.
Proceedings of the International Conference on Field-Programmable Technology, 2020

FPGA-based Low-Batch Training Accelerator for Modern CNNs Featuring High Bandwidth Memory.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Artisan: a Meta-Programming Approach For Codifying Optimisation Strategies.
Proceedings of the 28th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2020

Optimizing Reconfigurable Recurrent Neural Networks.
Proceedings of the 28th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2020

Scalable Multi-FPGA Acceleration for Large RNNs with Full Parallelism Levels.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

SLATE: Managing Heterogeneous Cloud Functions.
Proceedings of the 31st IEEE International Conference on Application-specific Systems, 2020

2019
Dark Wires and the Opportunities for Reconfigurable Logic.
IEEE Comput. Archit. Lett., 2019

FPGA-based Computing in the Era of AI and Big Data.
Proceedings of the 2019 International Symposium on Physical Design, 2019

Enhanced Heterogeneous Cloud: Transparent Acceleration and Elasticity.
Proceedings of the International Conference on Field-Programmable Technology, 2019

Scalable Low-Latency Persistent Neural Machine Translation on CPU Server with Multiple FPGAs.
Proceedings of the International Conference on Field-Programmable Technology, 2019

Automatic Compiler Based FPGA Accelerator for CNN Training.
Proceedings of the 29th International Conference on Field Programmable Logic and Applications, 2019

Evaluating and Enhancing Intel® Stratix® 10 FPGAs for Persistent Real-Time AI.
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019

Processor Assisted Worklist Scheduling for FPGA Accelerated Graph Processing on a Shared-Memory Platform.
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019

Why Compete When You Can Work Together: FPGA-ASIC Integration for Persistent RNNs.
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019

2018
Exploration of Low Numeric Precision Deep Learning Inference Using Intel FPGAs.
CoRR, 2018

WRPN: Wide Reduced-Precision Networks.
Proceedings of the 6th International Conference on Learning Representations, 2018

Evaluating The Highly-Pipelined Intel Stratix 10 FPGA Architecture Using Open-Source Benchmarks.
Proceedings of the International Conference on Field-Programmable Technology, 2018

In-Package Domain-Specific ASICs for Intel® Stratix® 10 FPGAs: A Case Study of Accelerating Deep Learning Using TensorTile ASIC.
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018

In-Package Domain-Specific ASICs for Intel® Stratix® 10 FPGAs: A Case Study of Accelerating Deep Learning Using TensorTile ASIC(Abstract Only).
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018

A Customizable Matrix Multiplication Framework for the Intel HARPv2 Xeon+FPGA Platform: A Deep Learning Case Study.
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018

Exploration of Low Numeric Precision Deep Learning Inference Using Intel® FPGAs: (Abstract Only).
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018

Exploration of Low Numeric Precision Deep Learning Inference Using Intel® FPGAs.
Proceedings of the 26th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2018

2017
WRPN: Training and Inference using Wide Reduced-Precision Networks.
CoRR, 2017

Accelerating Deep Convolutional Networks using low-precision and sparsity.
Proceedings of the 2017 IEEE International Conference on Acoustics, 2017

Customizable FPGA OpenCL matrix multiply design template for deep neural networks.
Proceedings of the International Conference on Field Programmable Technology, 2017

High performance binary neural networks on the Xeon+FPGA™ platform.
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017

Can FPGAs Beat GPUs in Accelerating Next-Generation Deep Neural Networks?
Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2017

Fine-grained accelerators for sparse machine learning workloads.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
3D Point Cloud Reduction Using Mixed-Integer Quadratic Programming.
Proceedings of the Deep Learning and Convolutional Neural Networks for Medical Image Computing, 2016

Accelerating Binarized Neural Networks: Comparison of FPGA, CPU, GPU, and ASIC.
Proceedings of the 2016 International Conference on Field-Programmable Technology, 2016

Accelerating recurrent neural networks in analytics servers: Comparison of FPGA, CPU, GPU, and ASIC.
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016

A Study of Pointer-Chasing Performance on Shared-Memory Processor-FPGA Systems.
Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2016

Hardware accelerator for analytics of sparse data.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

2015
Fast hierarchical implementation of sequential tree-reweighted belief propagation for probabilistic inference.
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015

A sparse matrix vector multiply accelerator for support vector machine.
Proceedings of the 2015 International Conference on Compilers, 2015

2014
GraphGen: An FPGA Framework for Vertex-Centric Graph Computation.
Proceedings of the 22nd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2014

Programmable Automotive Headlights.
Proceedings of the Computer Vision - ECCV 2014, 2014

2013
MEMOCODE 2013 hardware/software co-design contest: Stereo matching.
Proceedings of the 11th ACM/IEEE International Conference on Formal Methods and Models for Codesign, 2013

Hardware-efficient stereo estimation using a residual-based approach.
Proceedings of the IEEE International Conference on Acoustics, 2013

3D Point Cloud Reduction Using Mixed-Integer Quadratic Programming.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2013

2011
Automatic Pipelining From Transactional Datapath Specifications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Integrating formal verification and high-level processor pipeline synthesis.
Proceedings of the IEEE 9th Symposium on Application Specific Processors, 2011

2010
Automatic multithreaded pipeline synthesis from transactional datapath specifications.
Proceedings of the 47th Design Automation Conference, 2010

2009
ProtoFlex: Towards Scalable, Full-System Multiprocessor Simulations Using FPGAs.
ACM Trans. Reconfigurable Technol. Syst., 2009

2008
Active Cache Emulator.
IEEE Trans. Very Large Scale Integr. Syst., 2008

A complexity-effective architecture for accelerating full-system multiprocessor simulations using FPGAs.
Proceedings of the ACM/SIGDA 16th International Symposium on Field Programmable Gate Arrays, 2008

2007
Adaptive semi-soft handoff for Cellular IP networks.
Int. J. Wirel. Mob. Comput., 2007

PROToFLEX: FPGA-accelerated Hybrid Functional Simulator.
Proceedings of the 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), 2007

2006
Design, implementation, and verification of active cache emulator (ACE).
Proceedings of the ACM/SIGDA 14th International Symposium on Field Programmable Gate Arrays, 2006

2005
TRUSS: A Reliable, Scalable Server Architecture.
IEEE Micro, 2005

Dynamic voltage scaling techniques for power efficient video decoding.
J. Syst. Archit., 2005

Characterization of L3 cache behavior of SPECjAppServer2002 and TPC-C.
Proceedings of the 19th Annual International Conference on Supercomputing, 2005

2003
A Comparative Study of Dynamic Voltage Scaling Techniques for Low-Power Video Decoding.
Proceedings of the International Conference on Embedded Systems and Applications, 2003


  Loading...