Masaya Kibune

According to our database1, Masaya Kibune authored at least 31 papers between 2003 and 2017.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2017
Efficient Learning Algorithm Using Compact Data Representation in Neural Networks.
Proceedings of the Neural Information Processing - 24th International Conference, 2017

2015
A 3x blind ADC-based CDR for a 20 dB loss channel.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

On-Chip Measurement of Clock and Data Jitter With Sub-Picosecond Accuracy for 10 Gb/s Multilane CDRs.
IEEE J. Solid State Circuits, 2015

A Reference-Less Single-Loop Half-Rate Binary CDR.
IEEE J. Solid State Circuits, 2015

A 25 Gbps silicon photonic transmitter and receiver with a bridge structure for CPU interconnects.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2015

22.2 A 25Gb/s hybrid integrated silicon photonic transceiver in 28nm CMOS and SOI.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

2014
An 8-11 Gb/s Reference-Less Bang-Bang CDR Enabled by "Phase Reset".
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

On-chip measurement of data jitter with sub-picosecond accuracy for 10Gb/s multilane CDRs.
Proceedings of the Symposium on VLSI Circuits, 2014

A blind ADC-based CDR with digital data interpolation and adaptive CTLE and DFE.
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, 2014

2013
A Blind Baud-Rate ADC-Based CDR.
IEEE J. Solid State Circuits, 2013

An 8mW frequency detector for 10Gb/s half-rate CDR using clock phase selection.
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, 2013

2011
An Adaptation Engine for a 2x Blind ADC-Based CDR in 65 nm CMOS.
IEEE J. Solid State Circuits, 2011

A pattern-guided adaptive equalizer in 65nm CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

A 5Gb/s adaptive DFE for 2x blind ADC-based CDR in 65nm CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

A 1-to-6Gb/s phase-interpolator-based burst-mode CDR in 65nm CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

2010
A 5-Gb/s ADC-Based Feed-Forward CDR in 65 nm CMOS.
IEEE J. Solid State Circuits, 2010

A 3 Watt 39.8-44.6 Gb/s Dual-Mode SFI5.2 SerDes Chip Set in 65 nm CMOS.
IEEE J. Solid State Circuits, 2010

A Dynamic Offset Control Technique for Comparator Design in Scaled CMOS Technology.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2010

Split Capacitor DAC Mismatch Calibration in Successive Approximation ADC.
IEICE Trans. Electron., 2010

A 5Gb/s transceiver with an ADC-based feedforward CDR and CMA adaptive equalizer in 65nm CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

A fractional-sampling-rate ADC-based CDR with feedforward architecture in 65nm CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

A combined anti-aliasing filter and 2-tap FFE in 65-nm CMOS for 2× blind 2-;10 Gb/s ADC-based receivers.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2010

2009
A Single-40 Gb/s Dual-20 Gb/s Serializer IC With SFI-5.2 Interface in 65 nm CMOS.
IEEE J. Solid State Circuits, 2009

A single-40Gb/s dual-20Gb/s serializer IC with SFI-5.2 interface in 65nm CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2009

2007
A 20-Gb/s Simultaneous Bidirectional Transceiver Using a Resistor-Transconductor Hybrid in 0.11-µm CMOS.
IEEE J. Solid State Circuits, 2007

2006
Circuits for CMOS High-Speed I/O in Sub-100 nm Technologies.
IEICE Trans. Electron., 2006

A 20Gb/s Bidirectional Transceiver Using a Resistor-Transconductor Hybrid.
Proceedings of the 2006 IEEE International Solid State Circuits Conference, 2006

2005
A 10-Gb/s receiver with series equalizer and on-chip ISI monitor in 0.11-μm CMOS.
IEEE J. Solid State Circuits, 2005

A 40-Gb/s CMOS clocked comparator with bandwidth modulation technique.
IEEE J. Solid State Circuits, 2005

A 5-6.4-Gb/s 12-channel transceiver with pre-emphasis and equalization.
IEEE J. Solid State Circuits, 2005

2003
A CMOS multichannel 10-Gb/s transceiver.
IEEE J. Solid State Circuits, 2003


  Loading...