Toshihiko Mori

According to our database1, Toshihiko Mori authored at least 27 papers between 1998 and 2022.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
Highly power-efficient (2 pJ/bit), 128Gbps 16QAM signal generation of coherent optical DAC transmitter using 28-nm CMOS driver and all-silicon segmented modulator.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2022

2019
Neural-network assistance to calculate precise eigenvalue for fitness evaluation of real product design.
Proceedings of the Genetic and Evolutionary Computation Conference Companion, 2019

2018
Error-Free Loopback of a Compact 25 Gb/s × 4 ch WDM Transceiver Assembly Incorporating Silicon (De)Multiplexers with Automated Phase-Error Correction.
Proceedings of the Optical Fiber Communications Conference and Exposition, 2018

On-Package High-Density Silicon Photonics Optical Transceiver.
Proceedings of the European Conference on Optical Communication, 2018

2017
Low crosstalk simultaneous 12 ch × 25 Gb/s operation of high-density silicon photonics multichannel receiver.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2017

Ultra-Low-Power (1.59 mW/Gbps), 56-Gbps PAM4 Operation of Si Photonic Transmitter Integrating Segmented PIN Mach-Zehnder Modulator and 28-nm CMOS Driver.
Proceedings of the European Conference on Optical Communication, 2017

Low Crosstalk Simultaneous 16-channel × 25 Gb/s Operation of High Density Silicon Photonics Optical Transceiver.
Proceedings of the European Conference on Optical Communication, 2017

2016
A 28.3 Gb/s 7.3 pJ/bit 35 dB backplane transceiver with eye sampling phase adaptation in 28 nm CMOS.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

24 to 34-Gb/s ×4 multi-rate VCSEL-based optical transceiver with referenceless CDR.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2016

3.5 A 56Gb/s NRZ-electrical 247mW/lane serial-link transceiver in 28nm CMOS.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

2015
25.78-Gb/s VCSEL-based optical transceiver with retimer-embedded driver and receiver ICs.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2015

A 25 Gbps silicon photonic transmitter and receiver with a bridge structure for CPU interconnects.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2015

22.8 A 24-to-35Gb/s x4 VCSEL driver IC with multi-rate referenceless CDR in 0.13um SiGe BiCMOS.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

22.7 4×25.78Gb/s retimer ICs for optical links in 0.13μm SiGe BiCMOS.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

22.2 A 25Gb/s hybrid integrated silicon photonic transceiver in 28nm CMOS and SOI.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

2014
A 1.95 GHz Fully Integrated Envelope Elimination and Restoration CMOS Power Amplifier Using Timing Alignment Technique for WCDMA and LTE.
IEEE J. Solid State Circuits, 2014

A 56-Gb/s receiver front-end with a CTLE and 1-tap DFE in 20-nm CMOS.
Proceedings of the Symposium on VLSI Circuits, 2014

3.2 A 1.95GHz fully integrated envelope elimination and restoration CMOS power amplifier with envelope/phase generator and timing aligner for WCDMA and LTE.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

2012

A real-time temperature-compensated CMOS RF on-chip power detector with high linearity for wireless applications.
Proceedings of the 38th European Solid-State Circuit conference, 2012

2010
SAR ADC Algorithm with Redundancy and Digital Error Correction.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2010

Non-binary SAR ADC with digital error correction for low power applications.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

2007
A 0.8V 10b 8OMS/s 6.5mW Pipelined ADC with Regulated Overdrive Voltage Biasing.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

2003
A CMOS multichannel 10-Gb/s transceiver.
IEEE J. Solid State Circuits, 2003

1999
Customization Rule Generation for Electronic Sales Promotion System in Wholesale Industry.
Proceedings of the First International Workshop on Advance Issues of E-Commerce and Web-Based Information Systems, 1999

1998
Low-power SRAM design using half-swing pulse-mode techniques.
IEEE J. Solid State Circuits, 1998

A charge-transfer amplifier and an encoded-bus architecture for low-power SRAM's.
IEEE J. Solid State Circuits, 1998


  Loading...