Renzhi Liu

Orcid: 0009-0008-2585-886X

According to our database1, Renzhi Liu authored at least 18 papers between 2014 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Data-Driven Event-Triggered Control for Nonlinear Multi-Agent Systems With Uniform Quantization.
IEEE Trans. Circuits Syst. II Express Briefs, February, 2024

2023
An Energy-Efficient Bayesian Neural Network Accelerator With CiM and a Time-Interleaved Hadamard Digital GRNG Using 22-nm FinFET.
IEEE J. Solid State Circuits, October, 2023

A 2-Gb/s UWB Transceiver for Short-Range Reconfigurable FDD Wireless Networks.
IEEE J. Solid State Circuits, May, 2023

An Improved Future Land-Use Simulation Model with Dynamically Nested Ecological Spatial Constraints.
Remote. Sens., 2023

A Charge Domain SRAM Compute-in-Memory Macro With C-2C Ladder-Based 8-Bit MAC Unit in 22-nm FinFET Process for Edge Inference.
IEEE J. Solid State Circuits, 2023

2022
A 32.2 TOPS/W SRAM Compute-in-Memory Macro Employing a Linear 8-bit C-2C Ladder for Charge Domain Computation in 22nm for Edge Inference.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

A 0.76V Vin Triode Region 4A Analog LDO with Distributed Gain Enhancement and Dynamic Load-Current Tracking in Intel 4 CMOS Featuring Active Feedforward Ripple Shaping and On-Chip Power Noise Analyzer.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

2020
An 802.11ba-Based Wake-Up Radio Receiver With Wi-Fi Transceiver Integration.
IEEE J. Solid State Circuits, 2020

2019
An Ultra-Low Power, Fully Integrated Wake-Up Receiver and Digital Baseband with All-Digital Impairment Correction and -92.4dBm Sensitivity for 802.11ba.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019

2018

2017
Evaluating the benefits of relaxed BEOL pitch for deeply scaled ICs.
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017

2016
A wideband RF receiver with extended statistical element selection based harmonic rejection calibration.
Integr., 2016

Digital Calibration Method for High Resolution in Analog/RF Designs.
CoRR, 2016

Extended statistical element selection: a calibration method for high resolution in analog/RF designs.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2015
Low-Overhead Self-Healing Methodology for Current Matching in Current-Steering DAC.
IEEE Trans. Circuits Syst. II Express Briefs, 2015

2014
Efficient and secure intellectual property (IP) design with split fabrication.
Proceedings of the 2014 IEEE International Symposium on Hardware-Oriented Security and Trust, 2014

Building trusted ICs using split fabrication.
Proceedings of the 2014 IEEE International Symposium on Hardware-Oriented Security and Trust, 2014

A wideband RF receiver with >80 dB harmonic rejection ratio.
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, 2014


  Loading...