Yatin Hoskote

According to our database1, Yatin Hoskote authored at least 10 papers between 2007 and 2018.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2018

2015
Learning-Based Power Modeling of System-Level Black-Box IPs.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Design of a low power SoC testchip for wearables and IoTs.
Proceedings of the 2015 IEEE Hot Chips 27 Symposium (HCS), 2015

2014
High level design for wearables and IoT.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Automatic generation of custom SIMD instructions for Superword Level Parallelism.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Edit distance based instruction merging technique to improve flexibility of custom instructions toward flexible accelerator design.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Keynote talk II: Designing tomorrow's chips.
Proceedings of the 11th ACM/IEEE International Conference on Formal Methods and Models for Codesign, 2013

2007
A 5-GHz Mesh Interconnect for a Teraflops Processor.
IEEE Micro, 2007

An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

Adaptive Frequency and Biasing Techniques for Tolerance to Dynamic Temperature-Voltage Variations and Aging.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007


  Loading...