Shahriar Mirabbasi

Orcid: 0000-0001-8852-1633

According to our database1, Shahriar Mirabbasi authored at least 141 papers between 2000 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
A Serrodyne Modulator-Based Fractional Frequency Synthesis Technique for Low-Noise, GHz-Rate Clocking.
IEEE J. Solid State Circuits, October, 2023

Touch, press and stroke: a soft capacitive sensor skin.
CoRR, 2023

A 60fps9.9nJ/frame·pixel CMOS Image Sensor with On-Chip Pixel-wise Conversion Gain Modulation for Per-frame Adaptive DCG-HDR Imaging.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

2022
A Low-Power Logarithmic CMOS Digital-to-Analog Converter for Neural Signal Recording.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

A Transformer-Based Technique to Improve Tuning Range and Phase Noise of a 20-28GHz LCVCO and a 51-62GHz Self-Mixing LCVCO.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

A 30-fps 192 × 192 CMOS Image Sensor With Per-Frame Spatial-Temporal Coded Exposure for Compressive Focal-Stack Depth Sensing.
IEEE J. Solid State Circuits, 2022

Optimum Conditions for Efficient Second-Harmonic Power Generation in mm-Wave Harmonic Oscillators.
IEEE J. Solid State Circuits, 2022

2021
A Self-Sustained Smart Monitoring Platform for Capacitive De-Ionization Cell in Wireless Sensor Network.
IEEE Trans. Ind. Electron., 2021

A +7.6 dBm IIP3 2.4-GHz Double-Balanced Mixer With 10.5 dB NF in 65-nm CMOS.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

Corrections to "A Highly Linear and Efficient 28-GHz PA With a Pₛₐₜ of 23.2 dBm, P₁ <sub>dB</sub> of 22.7 dBm, and PAE of 35.5% in 65-nm Bulk CMOS".
IEEE J. Solid State Circuits, 2021

A Highly Linear and Efficient 28-GHz PA With a P<sub>sat</sub> of 23.2 dBm, P<sub>1 dB</sub> of 22.7 dBm, and PAE of 35.5% in 65-nm Bulk CMOS.
IEEE J. Solid State Circuits, 2021

2020
A 56-to-66 GHz CMOS Low-Power Phased-Array Receiver Front-End With Hybrid Phase Shifting Scheme.
IEEE Trans. Circuits Syst., 2020

A Memristive Multiplier Using Semi-Serial IMPLY-Based Adder.
IEEE Trans. Circuits Syst. I Fundam. Theory Appl., 2020

A Low-Power Signal-Dependent Sampling Technique: Analysis, Implementation, and Applications.
IEEE Trans. Circuits Syst., 2020

A Low-Voltage Low-Power Implantable Telemonitoring System with Application to Endo-Hyperthermia Treatment of In-Stent Restenosis.
Proceedings of the 18th IEEE International New Circuits and Systems Conference, 2020

A Compact Dual-Core 26.1-to-29.9GHz Coupled-CMOS LC-VCO with Implicit Common-Mode Resonance and FoM of-191 dBc/Hz at 10MHz.
Proceedings of the 2020 IEEE Custom Integrated Circuits Conference, 2020

2019
A Compact, Voltage-Mode Type-I PLL With Gain-Boosted Saturated PFD and Synchronous Peak Tracking Loop Filter.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A Hilbert Transform Equalizer Enabling 80 MHz RF Self-Interference Cancellation for Full-Duplex Receivers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A 10-Gb/s -18.8 dBm Sensitivity 5.7 mW Fully-Integrated Optoelectronic Receiver With Avalanche Photodetector in 0.13- $\mu$ m CMOS.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

An Open-Loop Double-Carrier Simultaneous Wireless Power and Data Transfer System.
IEEE Trans. Circuits Syst. II Express Briefs, 2019

Digital Calibration of Elements Mismatch in Multirate Predictive SAR ADCs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A 53-67 GHz Low-Noise Mixer-First Receiver Front-End in 65-nm CMOS.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

On the Design of <i>n</i>th-Order Polyphase All-Pass Filters.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A 25-35 GHz Neutralized Continuous Class-F CMOS Power Amplifier for 5G Mobile Communications Achieving 26% Modulation PAE at 1.5 Gb/s and 46.4% Peak PAE.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A Low-Voltage CMOS Rectifier With On-Chip Matching Network and a Magnetic Field Focused Antenna for Wirelessly Powered Medical Implants.
IEEE Trans. Biomed. Circuits Syst., 2019

A Semi-Serial Topology for Compact and Fast IMPLY-based Memristive Full Adders.
Proceedings of the 17th IEEE International New Circuits and Systems Conference, 2019

2018
A 16-Gb/s Low-Power Inductorless Wideband Gain-Boosted Baseband Amplifier With Skewed Differential Topology for Wireless Network-on-Chip.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Exposure-Programmable CMOS Pixel With Selective Charge Storage and Code Memory for Computational Imaging.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

A Type-I Sub-Sampling PLL With a 100×100 µm<sup>2</sup> Footprint and -255-dB FOM.
IEEE J. Solid State Circuits, 2018

A 219-to-231 GHz Frequency-Multiplier-Based VCO With ~3% Peak DC-to-RF Efficiency in 65-nm CMOS.
IEEE J. Solid State Circuits, 2018

A 60-GHz CMOS Down-Conversion Mixer with High Conversion Gain and Low Noise Figure.
Proceedings of the 16th IEEE International New Circuits and Systems Conference, 2018

A 0.01mm<sup>2</sup> 4.6-to-5.6GHz sub-sampling type-I frequency synthesizer with -254dB FOM.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

CMOS Rectifier with on-chip Transformer-Coupled Tunable Matching Network for Biomedical Implants.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A Wide-Tunning-Range Low-Phase-Noise Colpitts Oscillator with Variable Capacitive Feedback.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

On the Design of Vertical-Turn Solenoids for Magnetically Isolated Densely Integrated LC Oscillators.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A 32-μW Programmable Crystal-less Event-driven Receiver for Miniaturized Biomedical Implants.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

2017
An Adaptive Impedance-Matching System for Vehicular Power Line Communication.
IEEE Trans. Veh. Technol., 2017

Optimal Power Control in Green Wireless Sensor Networks With Wireless Energy Harvesting, Wake-Up Radio and Transmission Control.
IEEE Access, 2017

A low-power temperature sensing system for implantable biomedical applications.
Proceedings of the 15th IEEE International New Circuits and Systems Conference, 2017

Always-on CMOS image sensor pixel design for pixel-wise binary coded exposure.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

A 980μW 5.2dB-NF current-reused direct-conversion bluetooth-low-energy receiver in 40nm CMOS.
Proceedings of the 2017 IEEE Custom Integrated Circuits Conference, 2017

A CMOS pixel design with binary space-time exposure encoding for computational imaging.
Proceedings of the 2017 IEEE Custom Integrated Circuits Conference, 2017

2016
Transceiver Design for CMUT-Based Super-Resolution Ultrasound Imaging.
IEEE Trans. Biomed. Circuits Syst., 2016

On the design of combined LNA-VCO-mixer for low-power and low-voltage CMOS receiver front-ends.
Microelectron. J., 2016

On the Design of mm-Wave Self-Mixing-VCO Architecture for High Tuning-Range and Low Phase Noise.
IEEE J. Solid State Circuits, 2016

Analysis, design, and characterization of wireless power transfer systems using conical coils.
Proceedings of the 14th IEEE International New Circuits and Systems Conference, 2016

A Low-power Continuous-Reset CMOS Charge-Sensitive Amplifier for the Readout of Solid-State Radiation Detectors.
Proceedings of the 14th IEEE International New Circuits and Systems Conference, 2016

A digitally assisted technique to improve rectifier efficiency in wireless energy harvesting systems.
Proceedings of the IEEE International Conference on Consumer Electronics, 2016

On the use of conical helix inductors in wireless power transfer systems.
Proceedings of the 2016 IEEE Canadian Conference on Electrical and Computer Engineering, 2016

Silicon-photonic devices: Electronic control and stabilization.
Proceedings of the 2016 IEEE Canadian Conference on Electrical and Computer Engineering, 2016

2015
An 18.7-Gb/s 60-GHz OOK Demodulator in 65-nm CMOS for Wireless Network-on-Chip.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

Wireless energy harvesting for the Internet of Things.
IEEE Commun. Mag., 2015

An adaptive magnetically coupled wireless power transmission system.
Proceedings of the IEEE 13th International New Circuits and Systems Conference, 2015

ES2: Brain-machine interfaces: Integrated circuits talking to neurons.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

Low-Power Design Techniques for Rx RF Front-End.
Proceedings of the IEEE International Conference on Ubiquitous Wireless Broadband, 2015

Low-complexity energy-efficient security approach for e-health applications based on physically unclonable functions of sensors.
Proceedings of the 2015 IEEE International Conference on Electronics, 2015

On the design of digitally assisted voltage regulators.
Proceedings of the IEEE International Conference on Consumer Electronics, 2015

A high-performance, yet simple to design, digital-friendly type-I PLL.
Proceedings of the 2015 IEEE Custom Integrated Circuits Conference, 2015

A dual-tank LC VCO topology approaching towards the maximum thermodynamically-achievable oscillator FoM.
Proceedings of the 2015 IEEE Custom Integrated Circuits Conference, 2015

Session 25 - 20 Gb/s transmitters and receivers.
Proceedings of the 2015 IEEE Custom Integrated Circuits Conference, 2015

2014
A 5-V 290-µW Low-Noise Chopper-Stabilized Capacitive-Sensor Readout Circuit in 0.8-µm CMOS Using a Correlated-Level-Shifting Technique.
IEEE Trans. Circuits Syst. II Express Briefs, 2014

Applications of Body Biasing in Multistage CMOS Low-Noise Amplifiers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

A 0.13-µm CMOS Low-Power Capacitor-Less LDO Regulator Using Bulk-Modulation Technique.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

On the use of body biasing to improve linearity in low LO-power CMOS active mixers.
Microelectron. J., 2014

Architecture and Design of Multichannel Millimeter-Wave Wireless NoC.
IEEE Des. Test, 2014

An ultra-low-power CMOS voltage-controlled ring oscillator for passive RFID tags.
Proceedings of the IEEE 12th International New Circuits and Systems Conference, 2014

A low-power DC-to-27-GHz transimpedance amplifier in 0.13-µm CMOS using inductive-peaking and current-reuse techniques.
Proceedings of the IEEE 57th International Midwest Symposium on Circuits and Systems, 2014

Efficiency enhancement techniques and a dual-band approach in RF rectifiers for wireless power harvesting.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

Receiver design for CMUT-based super-resolution ultrasound imaging.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

Performance comparison of two wide-tuning-range 13-GHz CMOS LC-VCOs.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

A low-power Wilkinson-type ADC for CdZnTe detectors in 0.13μm CMOS.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

A high-sensitivity fully passive wake-up radio front-end for wireless sensor nodes.
Proceedings of the IEEE International Conference on Consumer Electronics, 2014

A fully integrated telemonitoring system for diagnosing in-stent restenosis.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014

2013
12.5-Gb/s Full-Rate CDR With Wideband Quadrature Phase Shifting in Data Path.
IEEE Trans. Circuits Syst. II Express Briefs, 2013

A 0.007-mmy<sup>2</sup> 108-ppm°C 1-MHz Relaxation Oscillator for High-Temperature Applications up to 180°C in 0.13-µm CMOS.
IEEE Trans. Circuits Syst. I Regul. Pap., 2013

Low-Jitter 0.1-to-5.8 GHz Clock Synthesizer for Area-Efficient Per-Port Integration.
J. Electr. Comput. Eng., 2013

Analysis and design of monolithic resistors with a desired temperature coefficient using contacts.
IET Circuits Devices Syst., 2013

A CMOS rectifier with an extended high-efficiency region of operation.
Proceedings of the 2013 IEEE International Conference on RFID-Technologies and Applications, 2013

A low-power 2.4-GHz combined LNA-VCO structure in 0.13-μm CMOS.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013

A 5-V 555-μW 0.8-μm CMOS MEMS capacitive sensor interface using correlated level shifting.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

An ultra-low-power monitoring system for inductively coupled biomedical implants.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

A wideband unity-gain buffer in 0.13-μm CMOS.
Proceedings of the 20th IEEE International Conference on Electronics, 2013

Implementation of an FPGA-based low-power video processing module for a head-mounted display system.
Proceedings of the IEEE International Conference on Consumer Electronics, 2013

Improving linearity in class-AB power amplifiers using a body-biased NMOS predistortion stage.
Proceedings of the International Green Computing Conference, 2013

An efficiency enhancement technique for CMOS rectifiers with low start-up voltage for UHF RFID tags.
Proceedings of the International Green Computing Conference, 2013

2012
A Time-Based Technique for Testing LC-Tank Oscillators.
IEEE Trans. Circuits Syst. I Regul. Pap., 2012

An ultra-low-voltage CMOS mixer using switched-transconductance, current-reuse and dynamic-threshold-voltage gain-boosting techniques.
Proceedings of the 10th IEEE International NEWCAS Conference, 2012

Improving linearity of CMOS Gilbert-cell mixers using body biasing.
Proceedings of the 55th IEEE International Midwest Symposium on Circuits and Systems, 2012

A 25 Gb/s full-rate CDR circuit based on quadrature phase generation in data path.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

A low-power 10-bit 50-MS/s SAR ADC using a parasitic-compensated split-capacitor DAC.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

A linearity enhancement technique and its application to CMOS wideband low-noise amplifiers.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

On the use of smart stents for monitoring in-stent restenosis.
Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2012

Design and verification of integrated inductors in CMOS.
Proceedings of the 25th IEEE Canadian Conference on Electrical and Computer Engineering, 2012

2011
Digital Compensation Techniques for Frequency-Translating Hybrid Analog-to-Digital Converters.
IEEE Trans. Instrum. Meas., 2011

Guest Editorial Special Issue on ISCAS 2010.
IEEE Trans. Circuits Syst. I Regul. Pap., 2011

Design and Optimization of Resonance-Based Efficient Wireless Power Delivery Systems for Biomedical Implants.
IEEE Trans. Biomed. Circuits Syst., 2011

Guest Editorial: Special Issue on Embedded Signal Processing Circuits and Systems for Cognitive Radio-Based Wireless Communication Devices.
Circuits Syst. Signal Process., 2011

A Temperature-stable 60-dB programmable-gain amplifier in 0.13-µm CMOS.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

A 10 Gb/s low-power serdes receiver based on a hybrid speculative/SAR digitization technique.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

A 27-GHz low-power push-push LC VCO with wide tuning range in 65nm CMOS.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

An ultra-low-power SAR ADC with an area-efficient DAC architecture.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

A hybrid phase-locked loop for CDR Applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

An efficient CMOS rectifier with low-voltage operation for RFID tags.
Proceedings of the 2011 International Green Computing Conference and Workshops, 2011

A low-power high-sensitivity CMOS mixed-signal seizure-onset detector.
Proceedings of the 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2011

A technique for implementing monolithic resistors with near-zero temperature coefficient.
Proceedings of the 24th Canadian Conference on Electrical and Computer Engineering, 2011

2010
Guest Editorial Special Section on 2009 IEEE Custom Integrated Circuits Conference.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

A 4 GHz Non-Resonant Clock Driver With Inductor-Assisted Energy Return to Power Grid.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

A low-noise high-sensitivity readout circuit for MEMS capacitive sensors.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Super-regeneration-inspired time-based testing of LC-tank oscillators.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

An RF power harvesting system with input-tuning for long-range RFID tags.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Varactor-based signal restoration for near-speed-of-light surfing global interconnect.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2010

2009
Guest Editorial Special Section on 2008 IEEE Custom Integrated Circuits Conference.
IEEE Trans. Circuits Syst. I Regul. Pap., 2009

2008
Interconnect Driver Design for Long Wires in Field-Programmable Gate Arrays.
J. Signal Process. Syst., 2008

Energy Recovery from High-Frequency Clocks Using DC-DC Converters.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2008

Low-voltage bulk-driven mixer with on-chip balun.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Design of an active-inductor-based termination circuit for high-speed I/O.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

2007
A Frequency-Translating Hybrid Architecture for Wide-Band Analog-to-Digital Converters.
IEEE Trans. Circuits Syst. II Express Briefs, 2007

A 3GHz Switching DC-DC Converter Using Clock-Tree Charge-Recycling in 90nm CMOS with Integrated Output Filter.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

A 43 mW single-channel 4GS/s 4-bit flash ADC in 0.18 μm CMOS.
Proceedings of the IEEE 2007 Custom Integrated Circuits Conference, 2007

2006
The application of complex quantized feedback in integrated wireless receivers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2006

System-on-Chip: Reuse and Integration.
Proc. IEEE, 2006

Modeling and Characterization of VCOs with MOS Varactors for RF Transceivers.
EURASIP J. Wirel. Commun. Netw., 2006

A high-speed low-energy dynamic PLA using an input-isolation scheme.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

On the behaviour of passive guard-rings in lightly doped substrates.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Per-survivor processing Viterbi decoder for Bluetooth applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A widely tunable active RF filter topology.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Low-Voltage Low-Power Low-Noise Amplifier for Wireless Sensor Networks.
Proceedings of the Canadian Conference on Electrical and Computer Engineering, 2006

2005
A 4-bit 5 GS/s flash A/D converter in 0.18µm CMOS.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

A 0.35µm CMOS comparator circuit for high-speed ADC applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Modeling of MOS varactors and characterizing the tuning curve of a 5-6 GHz LC VCO.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

A wideband CMOS LNA design approach.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Ground bounce calculation due to simultaneous switching in deep sub-micron integrated circuits.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

High-speed wave-shaping techniques.
Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005

2004
High-Speed I/Os and PLLs for Data Communication Applications.
Proceedings of the 4th IEEE International Workshop on System-on-Chip for Real-Time Applications (IWSOC'04), 2004

A 1/8-rate clock and data recovery architecture for high-speed communication systems.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

2003
Overlapped complex-modulated transmultiplexer filters with simplified design and superior stopbands.
IEEE Trans. Circuits Syst. II Express Briefs, 2003

A technique for DC-offset removal and carrier phase error compensation in integrated wireless receivers.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Analog IP design flow for SoC applications.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

2000
Hierarchical QAM: a spectrally efficient dc-free modulation scheme.
IEEE Commun. Mag., 2000

Classical and modern receiver architectures.
IEEE Commun. Mag., 2000

A wideband carrier-recovery system for multilevel QAM signals.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000


  Loading...