Shintaro Izumi

Orcid: 0000-0002-8336-2220

According to our database1, Shintaro Izumi authored at least 119 papers between 2008 and 2024.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
A Case Study for Improving Performances of Deep-Learning Processor with MRAM.
IPSJ Trans. Syst. LSI Des. Methodol., 2024

Wearable Perspiration Characteristic Sensor Using Bi-Directional Driver Circuit.
Proceedings of the IEEE International Conference on Consumer Electronics, 2024

An Ultrasound-Based Surveillance System for Bathroom Posture and Location Estimation.
Proceedings of the IEEE International Conference on Consumer Electronics, 2024

15-ch Wearable Body Surface Potential Sensor for Wireless Electrocardiogram Monitoring.
Proceedings of the IEEE International Conference on Consumer Electronics, 2024

2023
Estimated Results of R-R Interval Using a Small Card-Sized VHF-Band Contactless Heartbeat Sensor Module.
Proceedings of the 19th IEEE International Conference on Body Sensor Networks, 2023

Quantitative Evaluation Method of Timed Up and Go Test for Hospitalized Patients Using Inertial Sensors.
Proceedings of the 19th IEEE International Conference on Body Sensor Networks, 2023

A 1W8R 20T SRAM Codebook for 20% Energy Reduction in Mixed-Precision Deep-Learning Inference Processor System.
Proceedings of the 5th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2023

2022
Machine Learning-Based Severity Classification of Spinal Cord Injury Patients Using Straight Leg Raising Test.
Proceedings of the 2022 IEEE Sensors, Dallas, TX, USA, October 30 - Nov. 2, 2022, 2022

Heartbeat Waveform Measurement Results of Several Persons Using a Small Card-Sized VHF-band Hearbeat Sensor Module.
Proceedings of the 2022 IEEE Sensors, Dallas, TX, USA, October 30 - Nov. 2, 2022, 2022

Wearable Perspiration Volume Sensor Using Dual-Frequency Impedance Measurement.
Proceedings of the 2022 IEEE Sensors, Dallas, TX, USA, October 30 - Nov. 2, 2022, 2022

Non-contact Atrial Fibrillation Detection using a 24-GHz Microwave Doppler Radar.
Proceedings of the 2022 IEEE Sensors, Dallas, TX, USA, October 30 - Nov. 2, 2022, 2022

20-µs Accuracy Time-Synchronization Method using Bluetooth Low Energy for Internet-of-Things Sensors.
Proceedings of the 19th IEEE Annual Consumer Communications & Networking Conference, 2022

2021
Subcentimeter Precision Ranging System for Moving Targets With a Doppler-Effect- Compensated Ultrasonic Direct Sequence Spread Spectrum.
IEEE Trans. Instrum. Meas., 2021

12.5-m Distance Measurement in High-Interference Environment Using Ultrasonic Array Sensors.
Proceedings of the IEEE International Instrumentation and Measurement Technology Conference, 2021

2020
A 1.15-TOPS 6.57-TOPS/W Neural Network Processor for Multi-Scale Object Detection With Reduced Convolutional Operations.
IEEE J. Sel. Top. Signal Process., 2020

Heartbeat Interval Error Compensation Method for Low Sampling Rates Photoplethysmography Sensors.
IEICE Trans. Commun., 2020

Printable Transparent Microelectrodes toward Mechanically and Visually Imperceptible Electronics.
Adv. Intell. Syst., 2020

Doppler shift compensation technique for ultrasonic DSSS ranging system.
Proceedings of the 2020 IEEE International Instrumentation and Measurement Technology Conference, 2020

An Architectural Study for Inference Coprocessor Core at the Edge in IoT Sensing.
Proceedings of the 2nd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2020

A 1.15-TOPS 6.57-TOPS/W DNN Processor for Multi-Scale Object Detection.
Proceedings of the 2nd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2020

2019
Multimodal Cardiovascular Information Monitor Using Piezoelectric Transducers for Wearable Healthcare.
J. Signal Process. Syst., 2019

Energy-Efficient Spectral Analysis Method Using Autoregressive Model-Based Approach for Internet of Things.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A 28-nm FD-SOI 8T Dual-Port SRAM for Low-Energy Image Processor With Selective Sourceline Drive Scheme.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Low-Noise Photoplethysmography Sensor Using Correlated Double Sampling for Heartbeat Interval Acquisition.
IEEE Trans. Biomed. Circuits Syst., 2019

Recent Progress of Biomedical Processor SoC for Wearable Healthcare Application: A Review.
IEICE Trans. Electron., 2019

Non-Contact Instantaneous Heart Rate Extraction System Using 24-GHz Microwave Doppler Sensor.
IEICE Trans. Commun., 2019

Noise Evaluation System for Biosignal Sensors Using Pseudo-Skin and Helmholtz Coil.
Proceedings of the 13th International Symposium on Medical Information and Communication Technology, 2019

A Low-Power Photoplethysmography Sensor using Correlated Double Sampling and Reference Readout Circuit.
Proceedings of the 2019 IEEE SENSORS, Montreal, QC, Canada, October 27-30, 2019, 2019

Classification Algorithm for Nocturnal Hypoxemia Using Nocturnal Pulse Oximetry.
Proceedings of the 41st Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2019

A Heartbeat Interval Error Compensation Method Using Multiple Linear Regression for Photoplethysmography Sensors.
Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference, 2019

An IoT Sensor Node SoC with Dynamic Power Scheduling for Sustainable Operation in Energy Harvesting Environment.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2019

2018
A 11.3-µA Physical Activity Monitoring System Using Acceleration and Heart Rate.
IEICE Trans. Electron., 2018

A low power, VLSI object recognition processor using Sparse FIND feature for 60 fps HDTV resolution video [IEICE Electronics Express Vol. 14(2017) No. 15 pp. 20170668].
IEICE Electron. Express, 2018

Adaptive Learning Rate Adjustment with Short-Term Pre-Training in Data-Parallel Deep Learning.
Proceedings of the 2018 IEEE International Workshop on Signal Processing Systems, 2018

Hardware Implementation of Autoregressive Model Estimation Using Burg's Method for Low-Energy Spectral Analysis.
Proceedings of the 2018 IEEE International Workshop on Signal Processing Systems, 2018

Sampling Rate Reduction for Wearable Heart Rate Variability Monitoring.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

28-nm FD-SOI Dual-Port SRAM with MSB-Based Inversion Logic for Low-Power Deep Learning.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

Delayed Weight Update for Faster Convergence in Data-Parallel Deep Learning.
Proceedings of the 2018 IEEE Global Conference on Signal and Information Processing, 2018

2017
A low power, VLSI object recognition processor using Sparse FIND feature for 60 fps HDTV resolution video.
IEICE Electron. Express, 2017

FPGA implementation of object recognition processor for HDTV resolution video using sparse FIND feature.
Proceedings of the 2017 IEEE International Workshop on Signal Processing Systems, 2017

A layer-block-wise pipeline for memory and bandwidth reduction in distributed deep learning.
Proceedings of the 27th IEEE International Workshop on Machine Learning for Signal Processing, 2017

A swallowable sensing device platform with wireless power feeding and chemical reaction actuator.
Proceedings of the 2017 39th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), 2017

Non-contact biometric identification and authentication using microwave Doppler sensor.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

Capacitively coupled ECG sensor system with digitally assisted noise cancellation for wearable application.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

Wearable pulse wave velocity sensor using flexible piezoelectric film array.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

A 19-μA metabolic equivalents monitoring SoC using adaptive sampling.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
A Counter-based Read Circuit Tolerant to Process Variation for 0.4-V Operating STT-MRAM.
IPSJ Trans. Syst. LSI Des. Methodol., 2016

A 28-nm 484-fJ/writecycle 650-fJ/readcycle 8T Three-Port FD-SOI SRAM for Image Processor.
IEICE Trans. Electron., 2016

Error Propagation Analysis for Single Event Upset considering Masking Effects on Re-Convergent Path.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2016

An soft error propagation analysis considering logical masking effect on re-convergent path.
Proceedings of the 22nd IEEE International Symposium on On-Line Testing and Robust System Design, 2016

A 15-μA metabolic equivalents monitoring system using adaptive acceleration sampling and normally off computing.
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016

An low-energy 8T dual-port SRAM for image processor with selective sourceline drive scheme in 28-nm FD-SOI process technology.
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016

Low-power metabolic equivalents estimation algorithm using adaptive acceleration sampling.
Proceedings of the 38th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2016

Swallowable sensing device for long-term gastrointestinal tract monitoring.
Proceedings of the 38th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2016

Adaptive noise cancellation method for capacitively coupled ECG sensor using single insulated electrode.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2016

Non-contact Instantaneous Heart Rate Monitoring Using Microwave Doppler Sensor and Time-Frequency Domain Analysis.
Proceedings of the 16th IEEE International Conference on Bioinformatics and Bioengineering, 2016

Capacitively coupled ECG sensor using a single electrode with adaptive power-line noise cancellation.
Proceedings of the 2016 IEEE-EMBS International Conference on Biomedical and Health Informatics, 2016

2015
Normally Off ECG SoC With Non-Volatile MCU and Noise Tolerant Heartbeat Detector.
IEEE Trans. Biomed. Circuits Syst., 2015

A Wearable Healthcare System With a 13.7 µ A Noise Tolerant ECG Processor.
IEEE Trans. Biomed. Circuits Syst., 2015

Low-Jitter Design for Second-Order Time-to-Digital Converter Using Frequency Shift Oscillators.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2015

An I/O-Sized ADC with Second-Order TDC and MOM Capacitor Voltage-to-Time Converter.
IEICE Trans. Electron., 2015

A Fast Settling All Digital PLL Using Temperature Compensated Oscillator Tuning Word Estimation Algorithm.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2015

Noise Tolerant Heart Rate Extraction Algorithm Using Short-Term Autocorrelation for Wearable Healthcare Systems.
IEICE Trans. Inf. Syst., 2015

A ferroelectric-based non-volatile flip-flop for wearable healthcare systems.
Proceedings of the 15th Non-Volatile Memory Technology Symposium, 2015

A low power 6T-4C non-volatile memory using charge sharing and non-precharge techniques.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

An accurate soft error propagation analysis technique considering temporal masking disablement.
Proceedings of the 21st IEEE International On-Line Testing Symposium, 2015

A more acceptable endoluminal implantation for remotely monitoring ingestible sensors anchored to the stomach wall.
Proceedings of the 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2015

Physical activity group classification algorithm using triaxial acceleration and heart rate.
Proceedings of the 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2015

Non-contact and noise tolerant heart rate monitoring using microwave doppler sensor and range imagery.
Proceedings of the 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2015

Large displacement haptic stimulus actuator using piezoelectric pump for wearable devices.
Proceedings of the 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2015

A 298-fJ/writecycle 650-fJ/readcycle 8T three-port SRAM in 28-nm FD-SOI process technology for image processor.
Proceedings of the 2015 IEEE Custom Integrated Circuits Conference, 2015

Analysis of Soft Error Propagation Considering Masking Effects on Re-Convergent Path.
Proceedings of the 24th IEEE Asian Test Symposium, 2015

A negative-resistance sense amplifier for low-voltage operating STT-MRAM.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

A 14µA ECG processor with noise tolerant heart rate extractor and FeRAM for wearable healthcare systems.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
A Real-time Scalable Object Detection System using Low-power HOG Accelerator VLSI.
J. Signal Process. Syst., 2014

STT-MRAM Operating at 0.38V Using Negative-Resistance Sense Amplifier.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2014

A 54-mw 3×-real-time 60-kword continuous speech recognition processor VLSI.
IEICE Electron. Express, 2014

A 6T-4C shadow memory using plate line and word line boosting.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

A 2.23 ps RMS jitter 3 μs fast settling ADPLL using temperature compensation PLL controller.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

An 8-bit I/O-sized ADC with second-order TDC and MOM capacitor voltage-to-time converter.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

Noise tolerant QRS detection using template matching with short-term autocorrelation.
Proceedings of the 36th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2014

A 6.14µA normally-off ECG-SoC with noise tolerant heart rate extractor for wearable healthcare systems.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014

A 2.4 pJ ferroelectric-based non-volatile flip-flop with 10-year data retention capability.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2014

Normally-off technologies for healthcare appliance.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
An FPGA Implementation of a HOG-based Object Detection Processor.
IPSJ Trans. Syst. LSI Des. Methodol., 2013

A Sub-100 mW Dual-Core HOG Accelerator VLSI for Parallel Feature Extraction Processing for HDTV Resolution Video.
IEICE Trans. Electron., 2013

A Second-Order All-Digital TDC with Low-Jitter Frequency Shift Oscillators and Dynamic Flipflops.
IEICE Trans. Electron., 2013

An Opampless Second-Order MASH ΔΣ ADC with Using Gated Ring Oscillator Time-to-Digital Converter.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2013

A 168-mW 2.4X-Real-Time 60-kWord Continuous Speech Recognition Processor VLSI.
IEICE Trans. Electron., 2013

A 40-NM 54-MW 3×-real-time VLSI processor for 60-kWord continuous speech recognition.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2013

A 38 μA wearable biosignal monitoring system with near field communication.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013

Temperature compensation using least mean squares for fast settling all-digital phase-locked loop.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013

A sub-100-milliwatt dual-core HOG accelerator VLSI for real-time multiple object detection.
Proceedings of the IEEE International Conference on Acoustics, 2013

A 14 µA ECG processor with robust heart rate monitor for a wearable healthcare system.
Proceedings of the ESSCIRC 2013, 2013

Noise-tolerant instantaneous heart rate and R-peak detection using short-term autocorrelation for wearable healthcare systems.
Proceedings of the 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2013

Low-power hardware implementation of noise tolerant heart rate extractor for a wearable monitoring system.
Proceedings of the 13th IEEE International Conference on BioInformatics and BioEngineering, 2013

2012
A 40 nm 144 mW VLSI Processor for Real-Time 60-kWord Continuous Speech Recognition.
IEEE Trans. Circuits Syst. I Regul. Pap., 2012

Divided Static Random Access Memory for Data Aggregation in Wireless Sensor Nodes.
IEICE Trans. Commun., 2012

A 61-dB SNDR 700 µm<sup>2</sup> second-order all-digital TDC with low-jitter frequency shift oscillators and dynamic flipflops.
Proceedings of the Symposium on VLSI Circuits, 2012

Architectural Study of HOG Feature Extraction Processor for Real-Time Object Detection.
Proceedings of the 2012 IEEE Workshop on Signal Processing Systems, 2012

A 62-dB SNDR second-order gated ring oscillator TDC with two-stage dynamic D-type flipflops as a quantization noise propagator.
Proceedings of the 10th IEEE International NEWCAS Conference, 2012

Bit error rate estimation in SRAM considering temperature fluctuation.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012

A 51-dB SNDR DCO-based TDC using two-stage second-order noise shaping.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

Neutron-induced soft error rate estimation for SRAM using PHITS.
Proceedings of the 18th IEEE International On-Line Testing Symposium, 2012

Handsfree Voice Interface for Home Network Service Using a Microphone Array Network.
Proceedings of the Third International Conference on Networking and Computing, 2012

Instantaneous Heart Rate detection using short-time autocorrelation for wearable healthcare systems.
Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2012

A 40-nm 168-mW 2.4×-real-time VLSI processor for 60-kWord continuous speech recognition.
Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, 2012

Processor Coupling Architecture for Aggressive Voltage Scaling on Multicores.
Proceedings of the ARCS 2012 Workshops, 28. Februar - 2. März 2012, München, Germany, 2012

Implementing Virtual Agent as an Interface for Smart Home Voice Control.
Proceedings of the 19th Asia-Pacific Software Engineering Conference, 2012

2011
Data-Intensive Sound Acquisition System with Large-scale Microphone Array.
J. Inf. Process., 2011

A Low-Power Real-Time SIFT Descriptor Generation Engine for Full-HDTV Video Recognition.
IEICE Trans. Electron., 2011

A Low-Power Multi-Phase Oscillator with Transfer Gate Phase Coupler Enabling Even-Numbered Phase Output.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2011

A Low-Power Multi Resolution Spectrum Sensing Architecture for a Wireless Sensor Network with Cognitive Radio.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2011

A 40-nm 640-µm<sup>2</sup> 45-dB opampless all-digital second-order MASH ΔΣ ADC.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Data Aggregation Protocol for Multiple Sound Sources Acquisition with Microphone Array Network.
Proceedings of 20th International Conference on Computer Communications and Networks, 2011

A 40 nm 144 mW VLSI processor for realtime 60 kWord continuous speech recognition.
Proceedings of the 2011 IEEE Custom Integrated Circuits Conference, 2011

2010
A 58-µW Single-Chip Sensor Node Processor with Communication Centric Design.
IEICE Trans. Electron., 2010

A 34.7-mW quad-core MIQP solver processor for robot control.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2010

2008
Counter-Based Broadcasting with Hop Count Aware Random Assessment Delay Extension for Wireless Sensor Networks.
IEICE Trans. Commun., 2008


  Loading...