Srinivas Katkoori

Orcid: 0000-0002-7589-5836

According to our database1, Srinivas Katkoori authored at least 146 papers between 1994 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Internet of Vehicles-Based Autonomous Vehicle Platooning.
SN Comput. Sci., January, 2024

2023
Engaged Student Learning with Gamified Labs: A New Approach for Hardware Security Education.
Proceedings of the IEEE International Conference on Teaching, 2023

Effect of the Dual Attention Suppression Attack on the Performance of Self-Driving Car Models - A Preliminary Study.
Proceedings of the IEEE International Symposium on Smart Electronic Systems, 2023

Unstructured Pruning for Multi-Layer Perceptrons with Tanh Activation.
Proceedings of the IEEE International Symposium on Smart Electronic Systems, 2023

Layer-Wise Filter Thresholding Based CNN Pruning for Efficient IoT Edge Implementations.
Proceedings of the Internet of Things. Advances in Information and Communication Technology, 2023

Empowering Resource-Constrained IoT Edge Devices: A Hybrid Approach for Edge Data Analysis.
Proceedings of the Internet of Things. Advances in Information and Communication Technology, 2023

Simulated Annealing Based Area Optimization of Multilayer Perceptron Hardware for IoT Edge Devices.
Proceedings of the Internet of Things. Advances in Information and Communication Technology, 2023

On Feasibility of Decision Trees for Edge Intelligence in Highly Constrained Internet-of-Things (IoT).
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023

2022
Fast Sobel Edge Detection for IoT Edge Devices.
SN Comput. Sci., 2022

Early Design Space Exploration Framework for Memristive Crossbar Arrays.
ACM J. Emerg. Technol. Comput. Syst., 2022

Peak Prediction Using Multi Layer Perceptron (MLP) for Edge Computing ASICs Targeting Scientific Applications.
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022

Design and Challenges of Edge Computing ASICs on Front-End Electronics.
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022

Object Detection and Classification in FWMAVs for Smart Pollination.
Proceedings of the IEEE International Symposium on Smart Electronic Systems, 2022

Intersection Movement Assist and Lane Change Assist V2V Warnings with DSRC-based Basic Safety Messages.
Proceedings of the IEEE International Symposium on Smart Electronic Systems, 2022

Low Power IoT Soil Moisture Sensor Node for Smart Irrigation.
Proceedings of the IEEE International Symposium on Smart Electronic Systems, 2022

Improving Student Learning in Hardware Security: Project Vision, Overview, and Experiences.
Proceedings of the IEEE International Symposium on Smart Electronic Systems, 2022

Range Based Hardware Optimization of Multilayer Perceptrons with RELUs.
Proceedings of the IEEE International Symposium on Smart Electronic Systems, 2022

Simulated Annealing Based Integerization of Hidden Weights for Area-Efficient IoT Edge Intelligence.
Proceedings of the IEEE International Symposium on Smart Electronic Systems, 2022

Platoon Formation Based on DSRC Basic Safety Messages.
Proceedings of the IEEE International Symposium on Smart Electronic Systems, 2022

Slow Moving Vehicle, Do Not Pass, and Stationary Vehicle V2V Warnings Based on DSRC Basic Safety Messages.
Proceedings of the IEEE International Symposium on Smart Electronic Systems, 2022

CARLA Connect: A Connected Autonomous Vehicle (CAV) Driving Simulator.
Proceedings of the IEEE International Symposium on Smart Electronic Systems, 2022

Vehicle-to-Infrastructure based Algorithms for Traffic Light Detection, Red Light Violation, and Wrong-Way Entry Applications.
Proceedings of the IEEE International Symposium on Smart Electronic Systems, 2022

An Internet of Medical Things (IoMT) Approach for Remote Assessment of Head and Neck Cancer Patients.
Proceedings of the IEEE International Symposium on Smart Electronic Systems, 2022

Three Connected V2V Applications Based on DSRC Basic Safety Messages.
Proceedings of the International Conference on Connected Vehicle and Expo, 2022

State Encoding Based Watermarking of Sequential Circuits Using Hybridized Darwinian Genetic Algorithm.
Behavioral Synthesis for Hardware Security, 2022

Introduction and Background.
Behavioral Synthesis for Hardware Security, 2022

Hardware Trojan Localization: Modeling and Empirical Approach.
Behavioral Synthesis for Hardware Security, 2022

Behavioral Synthesis of Key-Obfuscated RTL IP.
Behavioral Synthesis for Hardware Security, 2022

2021
High-Level Synthesis of Key-Obfuscated RTL IP with Design Lockout and Camouflaging.
ACM Trans. Design Autom. Electr. Syst., 2021

Partial evaluation based triple modular redundancy for single event upset mitigation.
Integr., 2021

Enhancing PRESENT-80 and Substitution-Permutation Network Cipher Security with Dynamic "Keyed" Permutation Networks.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2021

Defending Against Misspeculation-based Cache Probe Attacks Using Variable Record Table.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

ReOPUF: Relaxation Oscillator Physical Unclonable Function for Reliable Key Generation in IoT Security.
Proceedings of the Internet of Things. Technology and Applications, 2021

Challenges in IoT Applications and Research.
Proceedings of the Internet of Things. Technology and Applications, 2021

Smart Agriculture Using Flapping-Wing Micro Aerial Vehicles (FWMAVs).
Proceedings of the Internet of Things. Technology and Applications, 2021

2020
Interval Arithmetic and Self-Similarity Based RTL Input Vector Control for Datapath Leakage Minimization.
ACM Trans. Design Autom. Electr. Syst., 2020

Gate Level NBTI and Leakage Co-Optimization in Combinational Circuits with Input Vector Cycling.
IEEE Trans. Emerg. Top. Comput., 2020

Design, Analysis and Application of Embedded Resistive RAM Based Strong Arbiter PUF.
IEEE Trans. Dependable Secur. Comput., 2020

Machine Learning Attacks and Countermeasures for PUF-Based IoT Edge Node Security.
SN Comput. Sci., 2020

A Framework for Hardware Trojan Vulnerability Estimation and Localization in RTL Designs.
J. Hardw. Syst. Secur., 2020

Workshops on Extreme Scale Design Automation (ESDA) Challenges and Opportunities for 2025 and Beyond.
CoRR, 2020

Dissecting Convolutional Neural Networks for Efficient Implementation on Constrained Platforms.
Proceedings of the 33rd International Conference on VLSI Design and 19th International Conference on Embedded Systems, 2020

Basic Block Encoding Based Run-time CFI Check for Embedded Software.
Proceedings of the 28th IFIP/IEEE International Conference on Very Large Scale Integration, 2020

A Smart IoT System for Continuous Sleep State Monitoring.
Proceedings of the 63rd IEEE International Midwest Symposium on Circuits and Systems, 2020

Analysis of Radiation Impact on Memristive Crossbar Arrays.
Proceedings of the 11th IEEE Latin American Symposium on Circuits & Systems, 2020

High Level Modeling of Memristive Crossbar Arrays.
Proceedings of the 2020 IEEE Computer Society Annual Symposium on VLSI, 2020

SafeController: Efficient and Transparent Control-Flow Integrity for RTL Design.
Proceedings of the 2020 IEEE Computer Society Annual Symposium on VLSI, 2020

Analytical Estimation and Localization of Hardware Trojan Vulnerability in RTL Designs.
Proceedings of the 21st International Symposium on Quality Electronic Design, 2020

A Distributed Framework for Real Time Object Detection at Low Frame Rates with IoT Edge Nodes.
Proceedings of the IEEE International Symposium on Smart Electronic Systems, 2020

Novel Bit-Sliced Near-Memory Computing Based VLSI Architecture for Fast Sobel Edge Detection in IoT Edge Devices.
Proceedings of the IEEE International Symposium on Smart Electronic Systems, 2020

2019
Locomotion in virtual reality for room scale tracked areas.
Int. J. Hum. Comput. Stud., 2019

Variable Record Table: A Run-time Solution for Mitigating Buffer Overflow Attack.
Proceedings of the 62nd IEEE International Midwest Symposium on Circuits and Systems, 2019

An SR Flip-Flop based Physical Unclonable Functions for Hardware Security.
Proceedings of the 62nd IEEE International Midwest Symposium on Circuits and Systems, 2019

Machine Learning Based IoT Edge Node Security Attack and Countermeasures.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

A Darwinian Genetic Algorithm for State Encoding Based Finite State Machine Watermarking.
Proceedings of the 20th International Symposium on Quality Electronic Design, 2019

DLockout: A Design Lockout Technique for Key Obfuscated RTL IP Designs.
Proceedings of the IEEE International Symposium on Smart Electronic Systems, 2019

Latent Space Modeling for Cloning Encrypted PUF-Based Authentication.
Proceedings of the Internet of Things. A Confluence of Many Disciplines, 2019

Lightweight Countermeasure to Differential-Plaintext Attacks on Permutation Ciphers.
Proceedings of the Internet of Things. A Confluence of Many Disciplines, 2019

2018
CSRO-Based Reconfigurable True Random Number Generator Using RRAM.
IEEE Trans. Very Large Scale Integr. Syst., 2018

A Survey on Virtual Reality for Individuals with Autism Spectrum Disorder: Design Considerations.
IEEE Trans. Learn. Technol., 2018

Effects of Virtual Reality Properties on User Experience of Individuals with Autism.
ACM Trans. Access. Comput., 2018

Minimizing Performance and Energy Overheads Due to Fanout In Memristor based Logic Implementations.
Proceedings of the IFIP/IEEE International Conference on Very Large Scale Integration, 2018

Optimizing Performance and Energy Overheads Due to Fanout in In-Memory Computing Systems.
Proceedings of the VLSI-SoC: Design and Engineering of Electronics Systems Based on New Computing Paradigms, 2018

High-level synthesis of key based obfuscated RTL datapaths.
Proceedings of the 19th International Symposium on Quality Electronic Design, 2018

Virtual Reality Interaction Techniques for Individuals with Autism Spectrum Disorder.
Proceedings of the Universal Access in Human-Computer Interaction. Virtual, Augmented, and Intelligent Environments, 2018

An Efficient Hardware-Oriented Runtime Approach for Stack-based Software Buffer Overflow Attacks.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2018

Empirical Word-Level Analysis of Arithmetic Module Architectures for Hardware Trojan Susceptibility.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2018

2017
Vocational Rehabilitation of Individuals with Autism Spectrum Disorder with Virtual Reality.
ACM Trans. Access. Comput., 2017

LSTM-Based Memory Profiling for Predicting Data Attacks in Distributed Big Data Systems.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium Workshops, 2017

Effects of Instruction Methods on User Experience in Virtual Reality Serious Games.
Proceedings of the Virtual, Augmented and Mixed Reality, 2017

2016
Call Trace and Memory Access Pattern based Runtime Insider Threat Detection for Big Data Platforms.
CoRR, 2016

Vocational training with immersive virtual reality for individuals with autism: towards better design practices.
Proceedings of the 2nd IEEE Workshop on Everyday Virtual Reality, 2016

Embedded system design of a real-time parking guidance system.
Proceedings of the Annual IEEE Systems Conference, 2016

Locomotion in Virtual Reality for Individuals with Autism Spectrum Disorder.
Proceedings of the 2016 Symposium on Spatial User Interaction, 2016

State encoding based NBTI optimization in finite state machines.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

Virtual Reality Interaction Techniques for Individuals with Autism Spectrum Disorder: Design Considerations and Preliminary Results.
Proceedings of the Human-Computer Interaction. Interaction Platforms and Techniques, 2016

Point & Teleport Locomotion Technique for Virtual Reality.
Proceedings of the 2016 Annual Symposium on Computer-Human Interaction in Play, 2016

Effects of Environmental Clutter and Motion on User Performance in Virtual Reality Games.
Proceedings of the Workshop on Fictional Game Elements 2016 co-located with The ACM SIGCHI Annual Symposium on Computer-Human Interaction in Play (CHI PLAY 2016), 2016

Memory access pattern based insider threat detection in big data systems.
Proceedings of the 2016 IEEE International Conference on Big Data (IEEE BigData 2016), 2016

2015
Design and implementation of an embedded system for monitoring at-home solitary Alzheimer's patients.
Proceedings of the IEEE 58th International Midwest Symposium on Circuits and Systems, 2015

2014
Interval Arithmetic and Self Similarity Based Subthreshold Leakage Optimization in RTL Datapaths.
Proceedings of the VLSI-SoC: Internet of Things Foundations, 2014

Self similarity and interval arithmetic based leakage optimization in RTL datapaths.
Proceedings of the 22nd International Conference on Very Large Scale Integration, 2014

Embedded system design of an advanced illumination measurement system for highways.
Proceedings of the IEEE International Systems Conference, 2014

2013
A multi-parameter functional side-channel analysis method for hardware trust verification.
Proceedings of the 31st IEEE VLSI Test Symposium, 2013

"Scaling" the impact of EDA education Preliminary findings from the CCC workshop series on extreme scale design automation.
Proceedings of the 2013 IEEE International Conference on Microelectronic Systems Education, 2013

Prototyping of a portable data logging embedded system for naturalistic motorcycle study.
Proceedings of the International Conference on Connected Vehicles and Expo, 2013

2012
Interval arithmetic based input vector control for RTL subthreshold leakage minimization.
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012

A novel method for watermarking sequential circuits.
Proceedings of the 2012 IEEE International Symposium on Hardware-Oriented Security and Trust, 2012

2011
Simultaneous Scheduling, Allocation, Binding, Re-Ordering, and Encoding for Crosstalk Pattern Minimization During High-Level Synthesis.
IEEE Trans. Very Large Scale Integr. Syst., 2011

State-Retentive Power Gating of Register Files in Multicore Processors Featuring Multithreaded In-Order Cores.
IEEE Trans. Computers, 2011

2010
TABS: Temperature-Aware Layout-Driven Behavioral Synthesis.
IEEE Trans. Very Large Scale Integr. Syst., 2010

Customizable FPGA IP Core Implementation of a General-Purpose Genetic Algorithm Engine.
IEEE Trans. Evol. Comput., 2010

2009
A Framework for Power-Gating Functional Units in Embedded Microprocessors.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Simultaneous Peak Temperature and Average Power Minimization during Behavioral Synthesis.
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009

Floorplan Driven High Level Synthesis for Crosstalk Noise Minimization in Macro-cell Based Designs.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2009

On-chip dynamic worst-case crosstalk pattern detection and elimination for bus-based macro-cell designs.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

Exploring Compiler Optimizations for Enhancing Power Gating.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

Compiler-directed leakage reduction in embedded microprocessors.
Proceedings of the 27th International Conference on Computer Design, 2009

"Glitch Logic" and Applications to Computing and Information Security.
Proceedings of the 2009 Symposium on Bio-inspired Learning and Intelligent Systems for Security, 2009

2008
Clock Period Minimization with Iterative Binding Based on Stochastic Wirelength Estimation during High-Level Synthesis.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

An Elitist Non-Dominated Sorting Based Genetic Algorithm for Simultaneous Area and Wirelength Minimization in VLSI Floorplanning.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

A customizable FPGA IP core implementation of a general purpose Genetic Algorithm engine.
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008

Self-Reconfigurable Mixed-Signal Integrated Circuits Architecture Comprising a Field Programmable Analog Array and a General Purpose Genetic Algorithm IP Core.
Proceedings of the Evolvable Systems: From Biology to Hardware, 2008

Bus Binding, Re-ordering, and Encoding for Crosstalk-Producing Switching Activity Minimization during High Level Synthesis.
Proceedings of the 4th IEEE International Symposium on Electronic Design, 2008

Self-Reconfigurable Analog Array Integrated Circuit Architecture for Space Applications.
Proceedings of the NASA/ESA Conference on Adaptive Hardware and Systems, 2008

2007
A Compiler Based Leakage Reduction Technique by Power-Gating Functional Units in Embedded Microprocessors.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Minimizing wire delays by net-topology aware binding during floorplan- driven high level synthesis.
Proceedings of the IFIP VLSI-SoC 2007, 2007

A 3D-Layout Aware Binding Algorithm for High-Level Synthesis of Three-Dimensional Integrated Circuits.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

Adaptive and Evolvable Analog Electronics for Space Applications.
Proceedings of the Evolvable Systems: From Biology to Hardware, 2007

2006
A genetic algorithm for the design space exploration of datapaths during high-level synthesis.
IEEE Trans. Evol. Comput., 2006

Design Space Exploration of RTL Datapaths Using Rent Parameter based Stochastic Wirelength Estimation.
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006

Temperature-Adaptive Circuits on Reconfigurable Analog Arrays.
Proceedings of the First NASA/ESA Conference on Adaptive Hardware and Systems (AHS 2006), 2006

Self-Adaptive System Based on Field Programmable Gate Array for Extreme Temperature Electronics.
Proceedings of the First NASA/ESA Conference on Adaptive Hardware and Systems (AHS 2006), 2006

2005
Intrabus crosstalk estimation using word-level statistics.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

Floorplan-Based Crosstalk Estimation for Macrocell-Based Designs.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

System Level Energy Optimization for Location Aware Computing.
Proceedings of the 3rd IEEE International Conference on Pervasive Computing and Communications (PerCom 2005), 2005

Leakage Power Driven Behavioral Synthesis of Pipelined Datapaths.
Proceedings of the 2005 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2005), 2005

2004
Net Clustering Based Constructive and Iterative Improvement Approaches for Macro-Cell Placement.
J. VLSI Signal Process., 2004

Ant colony system application to macrocell overlap removal.
IEEE Trans. Very Large Scale Integr. Syst., 2004

Power minimization algorithms for LUT-based FPGA technology mapping.
ACM Trans. Design Autom. Electr. Syst., 2004

Intra-Bus Crosstalk Estimation Using Word-Level Statistics.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Ant Colony Optimization Technique for Macrocell Overlap Removal.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Energy Model Based Macrocell Placement for Wirelength Minimization.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Force-Directed Performance-Driven Placement Algorithm for FPGAs.
Proceedings of the 2004 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2004), 2004

Tabu Search Based Behavioral Synthesis of Low Leakage Datapaths.
Proceedings of the 2004 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2004), 2004

A Fast Word-Level Statistical Estimator of Intra-Bus Crosstalk.
Proceedings of the 2004 Design, 2004

2003
Resource Allocation and Binding Approach for Low Leakage Power.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003

An Architectural Leakage Power Simulator for VHDL Structural Datapaths.
Proceedings of the 2003 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2003), 2003

KnapBind: An Area-Efficient Binding Algorithm for Low-leakage Datapaths.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003

Efficient LUT-based FPGA technology mapping for power minimization.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003

2002
Net-based force-directed macrocell placement for wirelength optimization.
IEEE Trans. Very Large Scale Integr. Syst., 2002

An efficient register optimization algorithm for high-level synthesis from hierarchical behavioral specifications.
ACM Trans. Design Autom. Electr. Syst., 2002

Net Clustering Based Macrocell Placement.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

Force-Directed Scheduling for Dynamic Power Optimization.
Proceedings of the 2002 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2002), 2002

Behavioral synthesis of datapaths with low leakage power.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Power Optimization of Combinational Circuits by Input Transformations.
Proceedings of the 1st IEEE International Workshop on Electronic Design, 2002

2000
Scheduling for low power under resource and latency constraints.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

1999
RT-level Route-and-Place Design Methodology for Interconnect Optimization in DSM Regime.
Proceedings of the VLSI: Systems on a Chip, 1999

Accurate Resource Estimation Algorithms for Behavioral Synthesis.
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999

1998
Architectural Power Estimation Based on Behavior Level Profiling.
VLSI Design, 1998

1997
A constructive method for data path area estimation during high-level VLSI synthesis.
Proceedings of the ASP-DAC '97 Asia and South Pacific Design Automation Conference, 1997

1996
A Hierarchical Register Optimization Algorithm for Behavioral Synthesis.
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996

Simulation based architectural power estimation for PLA-based controllers.
Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996

1995
Profile-Driven Behavioral Synthesis for Low-Power VLSI Systems.
IEEE Des. Test Comput., 1995

High level profiling based low power synthesis technique.
Proceedings of the 1995 International Conference on Computer Design (ICCD '95), 1995

1994
Hierarchical Reconfiguration of VLSI/WSI Arrays.
Proceedings of the Seventh International Conference on VLSI Design, 1994


  Loading...