Azalia Mirhoseini

Orcid: 0000-0002-2440-0944

According to our database1, Azalia Mirhoseini authored at least 62 papers between 2010 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Hydragen: High-Throughput LLM Inference with Shared Prefixes.
CoRR, 2024

2023
Specific versus General Principles for Constitutional AI.
CoRR, 2023

The Capacity for Moral Self-Correction in Large Language Models.
CoRR, 2023

Embroid: Unsupervised Prediction Smoothing Can Improve Few-Shot Classification.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023

2022
Constitutional AI: Harmlessness from AI Feedback.
CoRR, 2022

Measuring Progress on Scalable Oversight for Large Language Models.
CoRR, 2022


A Transferable Approach for Partitioning Machine Learning Models on Multi-Chip-Modules.
Proceedings of Machine Learning and Systems 2022, 2022

Scalability and Generalization of Circuit Training for Chip Floorplanning.
Proceedings of the ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27, 2022

Learning to Design Accurate Deep Learning Accelerators with Inaccurate Multipliers.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

A full-stack search technique for domain optimized deep learning accelerators.
Proceedings of the ASPLOS '22: 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Lausanne, Switzerland, 28 February 2022, 2022

Reinforcement Learning for Electronic Design Automation: Case Studies and Perspectives: (Invited Paper).
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2021
A graph placement methodology for fast chip design.
Nat., 2021

FLGUARD: Secure and Private Federated Learning.
IACR Cryptol. ePrint Arch., 2021

SAFELearn: Secure Aggregation for private FEderated Learning.
IACR Cryptol. ePrint Arch., 2021

A Full-stack Accelerator Search Technique for Vision Applications.
CoRR, 2021

Representing Long-Range Context for Graph Neural Networks with Global Attention.
Proceedings of the Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, 2021

Delving into Macro Placement with Reinforcement Learning.
Proceedings of the 3rd ACM/IEEE Workshop on Machine Learning for CAD, 2021

Reinforcement Learning for Placement Optimization.
Proceedings of the ISPD '21: International Symposium on Physical Design, 2021

2020
A Single-Shot Generalized Device Placement for Large Dataflow Graphs.
IEEE Micro, 2020

Chip Placement with Deep Reinforcement Learning.
CoRR, 2020

Transferable Graph Optimizers for ML Compilers.
Proceedings of the Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, 2020

Placement Optimization with Deep Reinforcement Learning.
Proceedings of the ISPD 2020: International Symposium on Physical Design, Taipei, Taiwan, March 29, 2020

2019
Generalized Clustering by Learning to Optimize Expected Normalized Cuts.
CoRR, 2019

GDP: Generalized Device Placement for Dataflow Graphs.
CoRR, 2019

Reinforcement Learning Driven Heuristic Optimization.
CoRR, 2019

GAP: Generalizable Approximate Graph Partitioning Framework.
CoRR, 2019

Deep Mixture of Experts via Shallow Embedding.
Proceedings of the Thirty-Fifth Conference on Uncertainty in Artificial Intelligence, 2019

2018
RankMap: A Framework for Distributed Learning From Dense Data Sets.
IEEE Trans. Neural Networks Learn. Syst., 2018

Deep Mixture of Experts via Shallow Embedding.
CoRR, 2018

ParLearning 2018 Invited Talk 2.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018

Introduction to ParLearning 2018.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018

A Hierarchical Model for Device Placement.
Proceedings of the 6th International Conference on Learning Representations, 2018

2017
RISE: An Automated Framework for Real-Time Intelligent Video Surveillance on FPGA.
ACM Trans. Embed. Comput. Syst., 2017

TinyDL: Just-in-time deep learning solution for constrained embedded systems.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

ExtDict: Extensible Dictionaries for Data- and Platform-Aware Large-Scale Learning.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium Workshops, 2017

Device Placement Optimization with Reinforcement Learning.
Proceedings of the 34th International Conference on Machine Learning, 2017

Outrageously Large Neural Networks: The Sparsely-Gated Mixture-of-Experts Layer.
Proceedings of the 5th International Conference on Learning Representations, 2017

Deep3: Leveraging Three Levels of Parallelism for Efficient Deep Learning.
Proceedings of the 54th Annual Design Automation Conference, 2017

2016
Automated Real-Time Analysis of Streaming Big and Dense Data on Reconfigurable Platforms.
ACM Trans. Reconfigurable Technol. Syst., 2016

Chime: Checkpointing Long Computations on Interm ittently Energized IoT Devices.
IEEE Trans. Multi Scale Comput. Syst., 2016

Deterministic Column Sampling for Low-Rank Matrix Approximation: Nyström vs. Incomplete Cholesky Decomposition.
Proceedings of the 2016 SIAM International Conference on Data Mining, 2016

DeLight: Adding Energy Dimension To Deep Neural Networks.
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016

CryptoML: Secure outsourcing of big data machine learning applications.
Proceedings of the 2016 IEEE International Symposium on Hardware Oriented Security and Trust, 2016

Perform-ML: performance optimized machine learning by platform and content aware customization.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Going deeper than deep learning for massive data analytics under physical constraints.
Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2016

2015
Phase Change Memory Write Cost Minimization by Data Encoding.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2015

oASIS: Adaptive Column Sampling for Kernel Matrix Approximation.
CoRR, 2015

RankMap: A Platform-Aware Framework for Distributed Learning from Dense Datasets.
CoRR, 2015

Flexible Transformations For Learning Big Data.
Proceedings of the 2015 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, 2015

DA Systemization of Knowledge: A Catalog of Prior Forward-Looking Initiatives.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

SSketch: An Automated Framework for Streaming Sketch-Based Analysis of Big Data on FPGA.
Proceedings of the 23rd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2015

AHEAD: automated framework for hardware accelerated iterative data analysis.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

2013
Idetic: A high-level synthesis approach for enabling long computations on transiently-powered ASICs.
Proceedings of the 2013 IEEE International Conference on Pervasive Computing and Communications, 2013

Automated checkpointing for enabling intensive applications on energy harvesting devices.
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013

2012
Coding-based energy minimization for phase change memory.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
A Unified Framework for Multimodal Submodular Integrated Circuits Trojan Detection.
IEEE Trans. Inf. Forensics Secur., 2011

Learning to manage combined energy supply systems.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

Hybrid heterogeneous energy supply networks.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

HypoEnergy. Hybrid supercapacitor-battery power-supply optimization for Energy efficiency.
Proceedings of the Design, Automation and Test in Europe, 2011

2010
A Unified Submodular Framework for Multimodal IC Trojan Detection.
Proceedings of the Information Hiding - 12th International Conference, 2010

Real time emulations: foundation and applications.
Proceedings of the 47th Design Automation Conference, 2010


  Loading...