Runsheng Wang

Orcid: 0000-0002-7514-0767

According to our database1, Runsheng Wang authored at least 156 papers between 1988 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Viewpoint Disentangling and Generation for Unsupervised Object Re-ID.
ACM Trans. Multim. Comput. Commun. Appl., May, 2024

A 16.38TOPS and 4.55POPS/W SRAM Computing-in-Memory Macro for Signed Operands Computation and Batch Normalization Implementation.
IEEE Trans. Circuits Syst. I Regul. Pap., April, 2024

Cascade Transformer Reasoning Embedded by Uncertainty for Occluded Person Re-Identification.
IEEE Trans. Biom. Behav. Identity Sci., April, 2024

A 4-bit Calibration-Free Computing-In-Memory Macro With 3T1C Current-Programed Dynamic-Cascode Multi-Level-Cell eDRAM.
IEEE J. Solid State Circuits, March, 2024

Clothoid-Based Reference Path Reconstruction for HD Map Generation.
IEEE Trans. Intell. Transp. Syst., January, 2024

Gait Recognition With Multi-Level Skeleton-Guided Refinement.
IEEE Trans. Multim., 2024

PDNNet: PDN-Aware GNN-CNN Heterogeneous Network for Dynamic IR Drop Prediction.
CoRR, 2024

The Dawn of AI-Native EDA: Promises and Challenges of Large Circuit Models.
CoRR, 2024

ProPD: Dynamic Token Tree Pruning and Generation for LLM Parallel Decoding.
CoRR, 2024

ASCEND: Accurate yet Efficient End-to-End Stochastic Computing Acceleration of Vision Transformer.
CoRR, 2024

HEQuant: Marrying Homomorphic Encryption and Quantization for Communication-Efficient Private Inference.
CoRR, 2024

Efficient yet Accurate End-to-End SC Accelerator Design.
CoRR, 2024

30.5 A Variation-Tolerant In-eDRAM Continuous-Time Ising Machine Featuring 15-Level Coefficients and Leaked Negative-Feedback Annealing.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2023
Statistical Compact Modeling With Artificial Neural Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023

CircuitNet: An Open-Source Dataset for Machine Learning in VLSI CAD Applications With Improved Domain-Specific Evaluation Metric and Learning Strategies.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023

AVATAR: An Aging- and Variation-Aware Dynamic Timing Analyzer for Error-Efficient Computing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

A 28 nm 16 Kb Bit-Scalable Charge-Domain Transpose 6T SRAM In-Memory Computing Macro.
IEEE Trans. Circuits Syst. I Regul. Pap., May, 2023

Equiprobability-Based Local Response Surface Method for High-Sigma Yield Estimation With Both High Accuracy and Efficiency.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., April, 2023

Interactive Analog Layout Editing With Instant Placement and Routing Legalization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2023

Efficient Aging-Aware Standard Cell Library Characterization Based on Sensitivity Analysis.
IEEE Trans. Circuits Syst. II Express Briefs, February, 2023

Gait Recognition via Gait Period Set.
IEEE Trans. Biom. Behav. Identity Sci., 2023

Controllable Clothoid Path Generation for Autonomous Vehicles.
IEEE Robotics Autom. Lett., 2023

CoPriv: Network/Protocol Co-Optimization for Communication-Efficient Private Inference.
CoRR, 2023

Post-Layout Simulation Driven Analog Circuit Sizing.
CoRR, 2023

An Investigation of Multi-feature Extraction and Super-resolution with Fast Microphone Arrays.
CoRR, 2023

HybridNet: Dual-Branch Fusion of Geometrical and Topological Views for VLSI Congestion Prediction.
CoRR, 2023

EBSR: Enhanced Binary Neural Network for Image Super-Resolution.
CoRR, 2023

Catching the Missing EM Consequence in Soft Breakdown Reliability in Advanced FinFETs: Impacts of Self-heating, On-State TDDB, and Layout Dependence.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

CoPriv: Network/Protocol Co-Optimization for Communication-Efficient Private Inference.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023

Khronos: Fusing Memory Access for Improved Hardware RTL Simulation.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

TileFlow: A Framework for Modeling Fusion Dataflow via Tree-based Analysis.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

Human-Like Decision Making and Planning for Autonomous Driving with Reinforcement Learning.
Proceedings of the 25th IEEE International Conference on Intelligent Transportation Systems, 2023

Vehicle-Centered Global Path Generation for Autonomous Vehicle.
Proceedings of the 25th IEEE International Conference on Intelligent Transportation Systems, 2023

Double-sided Row Hammer Effect in Sub-20 nm DRAM: Physical Mechanism, Key Features and Mitigation.
Proceedings of the IEEE International Reliability Physics Symposium, 2023

Investigation of Hot Carrier Enhanced Body Bias Effect in Advanced FinFET Technology.
Proceedings of the IEEE International Reliability Physics Symposium, 2023

MEGL: Multi-Experts Guided Learning Network for Single Camera Training Person Re-Identification.
Proceedings of the IEEE International Conference on Image Processing, 2023

MPCViT: Searching for Accurate and Efficient MPC-Friendly Vision Transformer with Heterogeneous Attention.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023

Memory-aware Scheduling for Complex Wired Networks with Iterative Graph Optimization.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

READ: Reliability-Enhanced Accelerator Dataflow Optimization Using Critical Input Pattern Reduction.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Falcon: Accelerating Homomorphically Encrypted Convolutions for Efficient Private Mobile Network Inference.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Invited Paper: Accelerating Routability and Timing Optimization with Open-Source AI4EDA Dataset CircuitNet and Heterogeneous Platforms.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Human Identification at a Distance: Challenges, Methods and Results on HID 2023.
Proceedings of the IEEE International Joint Conference on Biometrics, 2023

READ: Reliability-Enhanced Accelerator Dataflow Optimization using Critical Input Pattern Reduction.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

SAGERoute: Synergistic Analog Routing Considering Geometric and Electrical Constraints with Manual Design Compatibility.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Accurate yet Efficient Stochastic Computing Neural Acceleration with High Precision Residual Fusion.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

MTL-Designer: An Integrated Flow for Analysis and Synthesis of Microstrip Transmission Line.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Efficient Non-Linear Adder for Stochastic Computing with Approximate Spatial-Temporal Sorting Network.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

General-Purpose Gate-Level Simulation with Partition-Agnostic Parallelism.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Hierarchical Clustering and Refinement for Generalized Multi-Camera Person Tracking.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023

A Calibration-Free 15-level/Cell eDRAM Computing-in-Memory Macro with 3T1C Current-Programmed Dynamic-Cascoded MLC achieving 233-to-304-TOPS/W 4b MAC.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023

Not your father's stochastic computing (SC)! Efficient yet Accurate End-to-End SC Accelerator Design.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

2022
A 65 nm 73 kb SRAM-Based Computing-In-Memory Macro With Dynamic-Sparsity Controlling.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

Efficient Compression Methods for Wire-Spread-Based Stochastic Computing Deep Neural Networks.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

Senputing: An Ultra-Low-Power Always-On Vision Perception Chip Featuring the Deep Fusion of Sensing and Computing.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

MPCViT: Searching for MPC-friendly Vision Transformer with Heterogeneous Attention.
CoRR, 2022

CircuitNet: an open-source dataset for machine learning applications in electronic design automation (EDA).
Sci. China Inf. Sci., 2022

Characterization and Modelling of Hot Carrier Degradation in pFETs under Vd>Vg Condition for sub-20nm DRAM Technologies.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

Towards the Characterization of Full ID-VG Degradation in Transistors for Future Analog Applications.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

New Insight into the Aging Induced Retention Time Degraded of Advanced DRAM Technology.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

DeePEB: A Neural Partial Differential Equation Solver for Post Exposure Baking Simulation in Lithography.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Enabling High-Quality Uncertainty Quantification in a PIM Designed for Bayesian Neural Network.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

Compact Modeling of Phase Change Memory with Parameter Extractions.
Proceedings of the 52nd IEEE European Solid-State Device Research Conference, 2022

EventTimer: Fast and Accurate Event-Based Dynamic Timing Analysis.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

AVATAR: an aging- and variation-aware dynamic timing analyzer for application-based DVAFS.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
TD-SRAM: Time-Domain-Based In-Memory Computing Macro for Binary Neural Networks.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Approximate Logic Synthesis in the Loop for Designing Low-Power Neural Network Accelerator.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Can Emerging Computing Paradigms Help Enhancing Reliability Towards the End of Technology Roadmap?
Proceedings of the IEEE International Reliability Physics Symposium, 2021

DALTA: A Decomposition-based Approximate Lookup Table Architecture.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

A 16Kb Transpose 6T SRAM In-Memory-Computing Macro based on Robust Charge-Domain Computing.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2021

2020
Circuit Reliability Comparison Between Stochastic Computing and Binary Computing.
IEEE Trans. Circuits Syst., 2020

Parallel Hybrid Stochastic-Binary-Based Neural Network Accelerators.
IEEE Trans. Circuits Syst., 2020

DaSGD: Squeezing SGD Parallelization Performance in Distributed Training Using Delayed Averaging.
CoRR, 2020

Accurate and Energy-Efficient Implementation of Non-Linear Adder in Parallel Stochastic Computing using Sorting Network.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

"Shift and Match" (S...M) method for channel mobility correction in degraded MOSFETs.
Proceedings of the 2020 IEEE International Reliability Physics Symposium, 2020

A fast and test-proven methodology of assessing RTN/fluctuation on deeply scaled nano pMOSFETs.
Proceedings of the 2020 IEEE International Reliability Physics Symposium, 2020

JUST-BPR: Identify Implicit Friends with Jump and Stay for Social Recommendation.
Proceedings of the Neural Information Processing - 27th International Conference, 2020

Reliability-Enhanced Circuit Design Flow Based on Approximate Logic Synthesis.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

When Sorting Network Meets Parallel Bitstreams: A Fault-Tolerant Parallel Ternary Neural Network Accelerator based on Stochastic Computing.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Robustness Analysis of Triangle Relations Attack in Social Recommender Systems.
Proceedings of the 13th IEEE International Conference on Cloud Computing, 2020

2019
New-Generation Design-Technology Co-Optimization (DTCO): Machine-Learning Assisted Modeling Framework.
CoRR, 2019

A Parallel Bitstream Generator for Stochastic Computing.
CoRR, 2019

Parallel Convolutional Neural Network (CNN) Accelerators Based on Stochastic Computing.
Proceedings of the 2019 IEEE International Workshop on Signal Processing Systems, 2019

A Survey of Computation-Driven Data Encoding.
Proceedings of the 2019 IEEE International Workshop on Signal Processing Systems, 2019

Distinguishing Interfacial Hole Traps in (110), (100) High-K Gate Stack.
Proceedings of the IEEE International Reliability Physics Symposium, 2019

Memory System Designed for Multiply-Accumulate (MAC) Engine Based on Stochastic Computing.
Proceedings of the International Conference on IC Design and Technology, 2019

An Energy-Efficient Mixed-Signal Parallel Multiply-Accumulate (MAC) Engine Based on Stochastic Computing.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

OMI/TMI-based Modeling and Fast Simulation of Random Telegraph Noise (RTN) in Advanced Logic Devices and Circuits.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

2018
Investigation on NBTI-induced dynamic variability in nanoscale CMOS devices: Modeling, experimental evidence, and impact on circuits.
Microelectron. Reliab., 2018

Evaluation of SRAM V<sub>min</sub> shift induced by random telegraph noise (RTN): physical understanding and prediction method.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

New insights into the HCI degradation of pass-gate transistor in advanced FinFET technology.
Proceedings of the IEEE International Reliability Physics Symposium, 2018

Investigation on the amplitude coupling effect of random telegraph noise (RTN) in nanoscale FinFETs.
Proceedings of the IEEE International Reliability Physics Symposium, 2018

Compact modeling and simulation of accelerated circuit aging.
Proceedings of the 2018 IEEE Custom Integrated Circuits Conference, 2018

Layout-dependent aging mitigation for critical path timing.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
Towards reliability-aware circuit design in nanoscale FinFET technology: - New-generation aging model and circuit reliability simulator.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

How close to the CMOS voltage scaling limit for FinFET technology? - Near-threshold computing and stochastic computing.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

2016
Editor's note.
Sci. China Inf. Sci., 2016

Spectral-spatial classification of hyperspectral images with Gaussian process.
Proceedings of the 2016 IEEE International Geoscience and Remote Sensing Symposium, 2016

Layout dependent BTI and HCI degradation in nano CMOS technology: A new time-dependent LDE and impacts on circuit at end of life.
Proceedings of the International Conference on IC Design and Technology, 2016

2015
Active Learning With Gaussian Process Classifier for Hyperspectral Image Classification.
IEEE Trans. Geosci. Remote. Sens., 2015

Learning to Diversify Patch-Based Priors for Remote Sensing Image Restoration.
IEEE J. Sel. Top. Appl. Earth Obs. Remote. Sens., 2015

Tag Refinement for User-Contributed Images via Graph Learning and Nonnegative Tensor Factorization.
IEEE Signal Process. Lett., 2015

Personalized image annotation via class-specific cross-domain learning.
Signal Process. Image Commun., 2015

An MRF Model-Based Active Learning Framework for the Spectral-Spatial Classification of Hyperspectral Imagery.
IEEE J. Sel. Top. Signal Process., 2015

Class-specific Gaussian-multinomial latent Dirichlet allocation for image annotation.
EURASIP J. Adv. Signal Process., 2015

Temperature and emissivity separation and mineral mapping based on airborne TASI hyperspectral thermal infrared data.
Int. J. Appl. Earth Obs. Geoinformation, 2015

An active learning method based on SVM classifier for hyperspectral images classification.
Proceedings of the 7th Workshop on Hyperspectral Image and Signal Processing: Evolution in Remote Sensing, 2015

An active learning method based on Markov random fields for hyperspectral images classification.
Proceedings of the 7th Workshop on Hyperspectral Image and Signal Processing: Evolution in Remote Sensing, 2015

Impact of temporal transistor variations on circuit reliability.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Duty cycle shift under static/dynamic aging in 28nm HK-MG technology.
Proceedings of the IEEE International Reliability Physics Symposium, 2015

2014
Jointly Learning the Hybrid CRF and MLR Model for Simultaneous Denoising and Classification of Hyperspectral Imagery.
IEEE Trans. Neural Networks Learn. Syst., 2014

Regional-scale mineral mapping using ASTER VNIR/SWIR data and validation of reflectance and mineral map products using airborne hyperspectral CASI/SASI data.
Int. J. Appl. Earth Obs. Geoinformation, 2014

Tensor Representation for Image Annotation with Collective Groups.
Proceedings of the International Conference on Internet Multimedia Computing and Service, 2014

Hierarchical Unsupervised Object Segmentation with Manifold Regularization.
Proceedings of the International Conference on Internet Multimedia Computing and Service, 2014

2013
Multiple-Spectral-Band CRFs for Denoising Junk Bands of Hyperspectral Imagery.
IEEE Trans. Geosci. Remote. Sens., 2013

Impacts of short-channel effects on the random threshold voltage variation in nanoscale transistors.
Sci. China Inf. Sci., 2013

2012
Local multiple patterns based multiresolution gray-scale and rotation invariant texture classification.
Inf. Sci., 2012

Dynamic Learning of SCRF for Feature Selection and Classification of Hyperspectral Imagery.
Proceedings of the Structural, Syntactic, and Statistical Pattern Recognition, 2012

Self-heating effects in gate-all-around silicon nanowire MOSFETs: Modeling and analysis.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012

2011
Modeling and Classifying Hyperspectral Imagery by CRFs With Sparse Higher Order Potentials.
IEEE Trans. Geosci. Remote. Sens., 2011

HCI and NBTI induced degradation in gate-all-around silicon nanowire transistors.
Microelectron. Reliab., 2011

Editor's note.
Sci. China Inf. Sci., 2011

Bilingual Teaching Reform and Practice of Engineering Student's "Professional Foreign Language" Based on Multimedia Technology.
Proceedings of the Advances in Computer Science, Environment, Ecoinformatics, and Education, 2011

Characterization and analysis of gate-all-around Si nanowire transistors for extreme scaling.
Proceedings of the 2011 IEEE Custom Integrated Circuits Conference, 2011

2010
Learning Conditional Random Fields for Classification of Hyperspectral Images.
IEEE Trans. Image Process., 2010

A Novel Hierarchical Method of Ship Detection from Spaceborne Optical Image Based on Shape and Texture Features.
IEEE Trans. Geosci. Remote. Sens., 2010

Semantic modeling of natural scenes based on contextual Bayesian networks.
Pattern Recognit., 2010

An Approach of Exciting Events Detection in Soccer Sports Videos.
Int. J. Inf. Acquis., 2010

2009
Using Stacked Generalization to Combine SVMs in Magnitude and Shape Feature Spaces for Classification of Hyperspectral Data.
IEEE Trans. Geosci. Remote. Sens., 2009

Adaptive binary tree for fast SVM multiclass classification.
Neurocomputing, 2009

Abnormal Sections Detection Based on Inter-Frames Information in Video Sequence.
Int. J. Inf. Acquis., 2009

An Improved FoE Model for Image Deblurring.
Int. J. Comput. Vis., 2009

Challenges of 22 nm and beyond CMOS technology.
Sci. China Ser. F Inf. Sci., 2009

Fusion of SVMs in wavelet domain for hyperspectral data classification.
Proceedings of the IEEE International Conference on Robotics and Biomimetics, 2009

Abnormal Video Sections Detection Based on Inter-frames Information.
Proceedings of the 2009 Third International Conference on Multimedia and Ubiquitous Engineering, 2009

Moving Cast Shadow Detection Based on PCA.
Proceedings of the Fifth International Conference on Natural Computation, 2009

2008
Learning Sparse CRFs for Feature Selection and Classification of Hyperspectral Imagery.
IEEE Trans. Geosci. Remote. Sens., 2008

Dynamic Learning of SMLR for Feature Selection and Classification of Hyperspectral Data.
IEEE Geosci. Remote. Sens. Lett., 2008

Combining Support Vector Machines With a Pairwise Decision Tree.
IEEE Geosci. Remote. Sens. Lett., 2008

A novel extended local-binary-pattern operator for texture analysis.
Inf. Sci., 2008

2007
A Multiple Conditional Random Fields Ensemble Model for Urban Area Detection in Remote Sensing Optical Images.
IEEE Trans. Geosci. Remote. Sens., 2007

Using Combination of Statistical Models and Multilevel Structural Information for Detecting Urban Areas From a Single Gray-Level Image.
IEEE Trans. Geosci. Remote. Sens., 2007

A New MRF Framework with Dual Adaptive Contexts for Image Segmentation.
Proceedings of the Computational Intelligence and Security, International Conference, 2007

A Tracking Model with Occlusion Handling Based on Information Fusion.
Proceedings of the 10th International Conference on Computer-Aided Design and Computer Graphics, 2007

2006
Extracting contour lines from common-conditioned topographic maps.
IEEE Trans. Geosci. Remote. Sens., 2006

Symmetric Pixel-Group Based Stereo Matching for Occlusion Handling.
Proceedings of the 18th International Conference on Pattern Recognition (ICPR 2006), 2006

Object Detection Based on Combination of Conditional Random Field and Markov Random Field.
Proceedings of the 18th International Conference on Pattern Recognition (ICPR 2006), 2006

Texture Segmentation Using Independent Component Analysis of Gabor Features.
Proceedings of the 18th International Conference on Pattern Recognition (ICPR 2006), 2006

2005
Shape representation based on mathematical morphology.
Pattern Recognit. Lett., 2005

2004
A fast automatic extraction algorithm of elliptic object groups from remote sensing images.
Pattern Recognit. Lett., 2004

Super-Resolution Reconstruction of Image Sequence Using Multiple Motion Estimation Fusion.
J. Comput. Sci. Technol., 2004

VoIP Development in China.
Computer, 2004

Range Image Segmentation Based on Split-Merge Clustering.
Proceedings of the 17th International Conference on Pattern Recognition, 2004

Regularized Image Restoration Based on Adaptively Selecting Parameter and Operator.
Proceedings of the 17th International Conference on Pattern Recognition, 2004

2002
An Image Retrieval Method Using DCT Features.
J. Comput. Sci. Technol., 2002

A Target Detection Method in Range-Doppler Domain from SAR Echo Data.
Proceedings of the 16th International Conference on Pattern Recognition, 2002

1988
Fast extraction of ellipses.
Proceedings of the 9th International Conference on Pattern Recognition, 1988


  Loading...