Norman Chang

Orcid: 0000-0003-2524-0935

According to our database1, Norman Chang authored at least 41 papers between 1992 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Silicon-correlated Simulation Methodology of EM Side-channel Leakage Analysis.
ACM J. Emerg. Technol. Comput. Syst., January, 2023

Applications of Large Language Models in Data Processing: Innovative Approaches to Segmenting and Renewing Information.
CoRR, 2023

ML-augmented Simulation and Co-optimization for Semiconductor Applications and Design WorkflowsBeyond.
Proceedings of the 5th ACM/IEEE Workshop on Machine Learning for CAD, 2023

High-Speed, Low-Storage Power and Thermal Predictions for ATPG Test Patterns.
Proceedings of the IEEE International Test Conference, 2023

Invited Paper: Solving Fine-Grained Static 3DIC Thermal with ML Thermal Solver Enhanced with Decay Curve Characterization.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

2022
ML-based Fast On-Chip Transient Thermal Simulation for Heterogeneous 2.5D/3D IC Designs.
Proceedings of the 2022 International Symposium on VLSI Design, Automation and Test, 2022

A Thermal Machine Learning Solver For Chip Simulation.
Proceedings of the 2022 ACM/IEEE Workshop on Machine Learning for CAD, 2022

Low-IR-Drop Test Pattern Regeneration Using A Fast Predictor.
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022

Security Properties Driven Pre-Silicon Laser Fault Injection Assessment.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2022

Layout-level Vulnerability Ranking from Electromagnetic Fault Injection.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2022

Vector-based Dynamic IR-drop Prediction Using Machine Learning.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2021
A composable autoencoder-based iterative algorithm for accelerating numerical simulations.
CoRR, 2021

Multiphysics Simulation of EM Side-Channels from Silicon Backside with ML-based Auto-POI Identification.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2021

ML-augmented Methodology for Fast Thermal Side-channel Emission Analysis.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
Fast and Comprehensive Simulation Methodology for Layout-Based Power-Noise Side-Channel Leakage Analysis.
Proceedings of the IEEE International Symposium on Smart Electronic Systems, 2020

2019
Applying Machine Learning to Design for Reliability Coverage.
Proceedings of the IEEE International Reliability Physics Symposium, 2019

2018
Emerging ADAS Thermal Reliability Needs and Solutions.
IEEE Micro, 2018

Machine learning based generic violation waiver system with application on electromigration sign-off.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
OneM2M-based IoT protocol integration.
Proceedings of the IEEE Conference on Standards for Communications and Networking, 2017

2015
Multi-tier Elastic Computation Framework for Mobile Cloud Computing.
Proceedings of the 3rd IEEE International Conference on Mobile Cloud Computing, 2015

2014
Heterogeneous and Elastic Computation Framework for Mobile Cloud Computing.
Int. J. Softw. Eng. Knowl. Eng., 2014

Dynamic tail packing to optimize space utilization of file systems in embedded computing systems.
Proceedings of the 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications, 2014

Imprecise Computation Over the Cloud.
Proceedings of the 2nd IEEE International Conference on Mobile Cloud Computing, 2014

Bad page relaxation to prolong the lifetime of flash devices.
Proceedings of the IEEE 3rd Global Conference on Consumer Electronics, 2014

2013
Virtual Cloud Core: OpenCL Workload Sharing Framework for Connected Devices.
Proceedings of the Seventh IEEE International Symposium on Service-Oriented System Engineering, 2013

Performance and Power Estimation for Mobile-Cloud Applications on Virtualized Platforms.
Proceedings of the Seventh International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, 2013

3D-IC dynamic thermal analysis with hierarchical and configurable chip thermal model.
Proceedings of the 2013 IEEE International 3D Systems Integration Conference (3DIC), 2013

2012
A VM-aware fairness scheduler on heterogenous multi-core platforms.
Proceedings of the Research in Applied Computation Symposium, 2012

2009
Early analysis for power distribution networks.
Proceedings of the 2009 International Symposium on Physical Design, 2009

2002
Power/Ground Integrity Issues for Sub-130nm IC Designs (Tutorial Abstract).
Proceedings of the 3rd International Symposium on Quality of Electronic Design, 2002

2001
Instruction Prediction for Step Power Reduction.
Proceedings of the 2nd International Symposium on Quality of Electronic Design (ISQED 2001), 2001

Effective On-chip Inductance Modeling for Multiple Signal Lines and Application on Repeater Insertion.
Proceedings of the 2nd International Symposium on Quality of Electronic Design (ISQED 2001), 2001

Challenges in Power-Ground Integrity.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001

2000
Ramp Up/Down Functional Unit to Reduce Step Power.
Proceedings of the Power-Aware Computer Systems, First International Workshop, 2000

Full Chip Thermal Simulation.
Proceedings of the 1st International Symposium on Quality of Electronic Design (ISQED 2000), 2000

Quick On-Chip Self- and Mutual-Inductance Screen.
Proceedings of the 1st International Symposium on Quality of Electronic Design (ISQED 2000), 2000

Clocktree RLC Extraction with Efficient Inductance Modeling.
Proceedings of the 2000 Design, 2000

On-chip inductance modeling and RLC extraction of VLSI interconnects for circuit simulation.
Proceedings of the IEEE 2000 Custom Integrated Circuits Conference, 2000

1999
An efficient inductance modeling for on-chip interconnects.
Proceedings of the IEEE 1999 Custom Integrated Circuits Conference, 1999

1997
Fast Generation of Statistically-based Worst-Case Modeling of On-Chip Interconnect.
Proceedings of the Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, 1997

1992
Interconnect Modeling and Design in High-Speed VLSI/ULSI Systems.
Proceedings of the Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1992


  Loading...