Razvan Nane

Orcid: 0000-0003-4175-6560

According to our database1, Razvan Nane authored at least 24 papers between 2011 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
An Evaluation and Comparison of GPU Hardware and Solver Libraries for Accelerating the OPM Flow Reservoir Simulator.
CoRR, 2023

2022
Hardware Acceleration of High-Performance Computational Flow Dynamics Using High-Bandwidth Memory-Enabled Field-Programmable Gate Arrays.
ACM Trans. Reconfigurable Technol. Syst., 2022

OpenQL: A Portable Quantum Programming Framework for Quantum Accelerators.
ACM J. Emerg. Technol. Comput. Syst., 2022

SDC-based Resource Constrained Scheduling for Quantum Control Architectures.
CoRR, 2022

2021
Hardware Acceleration of HPC Computational Flow Dynamics using HBM-enabled FPGAs.
CoRR, 2021

2020
Skeleton-Based Synthesis Flow for Computation-in-Memory Architectures.
IEEE Trans. Emerg. Top. Comput., 2020

2019
Sparstition: A Partitioning Scheme for Large-Scale Sparse Matrix Vector Multiplication on FPGA.
Proceedings of the 30th IEEE International Conference on Application-specific Systems, 2019

2017
On the Implementation of Computation-in-Memory Parallel Adder.
IEEE Trans. Very Large Scale Integr. Syst., 2017

A Domain-Specific Language and Compiler for Computation-in-Memory Skeletons.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

2016
A Survey and Evaluation of FPGA High-Level Synthesis Tools.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

An Image Processing VLIW Architecture for Real-Time Depth Detection.
Proceedings of the 28th International Symposium on Computer Architecture and High Performance Computing, 2016

Skeleton-based design and simulation flow for Computation-in-Memory architectures.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2016

Parallel matrix multiplication on memristor-based computation-in-memory architecture.
Proceedings of the International Conference on High Performance Computing & Simulation, 2016

2015
Computation-in-memory based parallel adder.
Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures, 2015

Low-Cost Software Control-Flow Error Recovery.
Proceedings of the 2015 Euromicro Conference on Digital System Design, 2015

2014
Automatic Hardware Generation for Reconfigurable Architectures.
PhD thesis, 2014

High-Level Synthesis in the Delft Workbench Hardware/Software Co-design Tool-Chain.
Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing, 2014

2013
Quipu: A Statistical Model for Predicting Hardware Resources.
ACM Trans. Reconfigurable Technol. Syst., 2013

Controlling a complete hardware synthesis toolchain with LARA aspects.
Microprocess. Microsystems, 2013

Deriving Resource Efficient Designs Using the REFLECT Aspect-Oriented Approach - (Extended Abstract).
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2013

2012
A lightweight speculative and predicative scheme for hardware execution.
Proceedings of the 2012 International Conference on Reconfigurable Computing and FPGAs, 2012

Area constraint propagation in high level synthesis.
Proceedings of the 2012 International Conference on Field-Programmable Technology, 2012

DWARV 2.0: A CoSy-based C-to-VHDL hardware compiler.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

2011
IP-XACT extensions for Reconfigurable Computing.
Proceedings of the 22nd IEEE International Conference on Application-specific Systems, 2011


  Loading...