Satwik Patnaik

Orcid: 0000-0002-8975-2414

According to our database1, Satwik Patnaik authored at least 56 papers between 2017 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
DETERRENT: Detecting Trojans Using Reinforcement Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., January, 2024

AttackGNN: Red-Teaming GNNs in Hardware Security Using Reinforcement Learning.
CoRR, 2024

2023
VIGILANT: Vulnerability Detection Tool Against Fault-Injection Attacks for Locking Techniques.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

$\tt{PoisonedGNN}$: Backdoor Attack on Graph Neural Networks-Based Hardware Security Systems.
IEEE Trans. Computers, October, 2023

SCANet: Securing the Weights With Superparamagnetic-MTJ Crossbar Array Networks.
IEEE Trans. Neural Networks Learn. Syst., September, 2023

Titan: Security Analysis of Large-Scale Hardware Obfuscation Using Graph Neural Networks.
IEEE Trans. Inf. Forensics Secur., 2023

FerroCoin: Ferroelectric Tunnel Junction-Based True Random Number Generator.
IEEE Trans. Emerg. Top. Comput., 2023

Securing Cloud FPGAs Against Power Side-Channel Attacks: A Case Study on Iterative AES.
CoRR, 2023

PoisonedGNN: Backdoor Attack on Graph Neural Networks-based Hardware Security Systems.
CoRR, 2023

UN-SPLIT: Attacking Split Manufacturing Using Link Prediction in Graph Neural Networks.
Proceedings of the Security, Privacy, and Applied Cryptography Engineering, 2023

ExploreFault: Identifying Exploitable Fault Models in Block Ciphers with Reinforcement Learning.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
Hide and Seek: Seeking the (Un)-Hidden Key in Provably-Secure Logic Locking Techniques.
IEEE Trans. Inf. Forensics Secur., 2022

Valkyrie: Vulnerability Assessment Tool and Attack for Provably-Secure Logic Locking Techniques.
IEEE Trans. Inf. Forensics Secur., 2022

Opening the Doors to Dynamic Camouflaging: Harnessing the Power of Polymorphic Devices.
IEEE Trans. Emerg. Top. Comput., 2022

Security Promises and Vulnerabilities in Emerging Reconfigurable Nanotechnology-Based Circuits.
IEEE Trans. Emerg. Top. Comput., 2022

PolyWorm: Leveraging Polymorphic Behavior to Implant Hardware Trojans.
IEEE Trans. Emerg. Top. Comput., 2022

GNNUnlock+: A Systematic Methodology for Designing Graph Neural Networks-Based Oracle-Less Unlocking Schemes for Provably Secure Logic Locking.
IEEE Trans. Emerg. Top. Comput., 2022

OMLA: An Oracle-Less Machine Learning-Based Attack on Logic Locking.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

Concerted Wire Lifting: Enabling Secure and Cost-Effective Split Manufacturing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

GNN-RE: Graph Neural Networks for Reverse Engineering of Gate-Level Netlists.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Design-time exploration of voltage switching against power analysis attacks in 14 nm FinFET technology.
Integr., 2022

Vulnerability Assessment of Ciphers To Fault Attacks Using Reinforcement Learning.
IACR Cryptol. ePrint Arch., 2022

Hide & Seek: Seeking the (Un)-Hidden key in Provably-Secure Logic Locking Techniques.
CoRR, 2022

Embracing Graph Neural Networks for Hardware Security (Invited Paper).
CoRR, 2022

SCRAMBLE: A Secure and Configurable, Memristor-Based Neuromorphic Hardware Leveraging 3D Architecture.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022

Reinforcement Learning for Hardware Security: Opportunities, Developments, and Challenges.
Proceedings of the 19th International SoC Design Conference, 2022

Embracing Graph Neural Networks for Hardware Security.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

MuxLink: Circumventing Learning-Resilient MUX-Locking Using Graph Neural Network-based Link Prediction.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

ATTRITION: Attacking Static Hardware Trojan Detection Techniques Using Reinforcement Learning.
Proceedings of the 2022 ACM SIGSAC Conference on Computer and Communications Security, 2022

2021
Games, Dollars, Splits: A Game-Theoretic Analysis of Split Manufacturing.
IEEE Trans. Inf. Forensics Secur., 2021

UNSAIL: Thwarting Oracle-Less Machine Learning Attacks on Logic Locking.
IEEE Trans. Inf. Forensics Secur., 2021

A Modern Approach to IP Protection and Trojan Prevention: Split Manufacturing for 3D ICs and Obfuscation of Vertical Interconnects.
IEEE Trans. Emerg. Top. Comput., 2021

Deep Learning Analysis for Split-Manufactured Layouts With Routing Perturbation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

UNTANGLE: Unlocking Routing and Logic Obfuscation Using Graph Neural Networks-based Link Prediction.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

On the Vulnerability of Hardware Masking in Practical Implementations.
Proceedings of the GLSVLSI '21: Great Lakes Symposium on VLSI 2021, 2021

Fa-SAT: Fault-aided SAT-based Attack on Compound Logic Locking Techniques.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

GNNUnlock: Graph Neural Networks-based Oracle-less Unlocking Scheme for Provably Secure Logic Locking.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

2020
Spin-Orbit Torque Devices for Hardware Security: From Deterministic to Probabilistic Regime.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Obfuscating the Interconnects: Low-Cost and Resilient Full-Chip Layout Camouflaging.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

2.5D Root of Trust: Secure System-Level Integration of Untrusted Chiplets.
IEEE Trans. Computers, 2020

Power Side-Channel Attacks in Negative Capacitance Transistor.
IEEE Micro, 2020

Power Side-Channel Attacks in Negative Capacitance Transistor (NCFET).
CoRR, 2020

Ensemble-learning based neural networks for novelty detection in multi-class systems.
Appl. Soft Comput., 2020

SMART: A Secure Magnetoelectric AntifeRromagnet-Based Tamper-Proof Non-Volatile Memory.
IEEE Access, 2020

2019
Spin-Based Reconfigurable Logic for Power- and Area-Efficient Applications.
IEEE Des. Test, 2019

Toward Physically Unclonable Functions from Plasmonics-Enhanced Silicon Disc Resonators.
CoRR, 2019

An Interposer-Based Root of Trust: Seize the Opportunity for Secure System-Level Integration of Untrusted Chiplets.
CoRR, 2019

3D Integration: Another Dimension Toward Hardware Security.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

Attacking Split Manufacturing from a Deep Learning Perspective.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Protect Your Chip Design Intellectual Property: An Overview.
Proceedings of the International Conference on Omni-Layer Intelligent Systems, 2019

2018
Best of both worlds: integration of split manufacturing and camouflaging into a security-driven CAD flow for 3D ICs.
Proceedings of the International Conference on Computer-Aided Design, 2018

Advancing hardware security using polymorphic and stochastic spin-hall effect devices.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Raise your game for split manufacturing: restoring the true functionality through BEOL.
Proceedings of the 55th Annual Design Automation Conference, 2018

Concerted wire lifting: Enabling secure and cost-effective split manufacturing.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
Novel Fractional Spur Relocation in All Digital Phase Locked Loops.
Proceedings of the 2017 IEEE Wireless Communications and Networking Conference, 2017

Rethinking split manufacturing: An information-theoretic approach with secure layout techniques.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017


  Loading...