Muhammad Abdullah Hanif

Orcid: 0000-0001-9841-6132

According to our database1, Muhammad Abdullah Hanif authored at least 101 papers between 2016 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
SSAP: A Shape-Sensitive Adversarial Patch for Comprehensive Disruption of Monocular Depth Estimation in Autonomous Navigation Applications.
CoRR, 2024

MedAide: Leveraging Large Language Models for On-Premise Medical Assistance on Edge Devices.
CoRR, 2024

SAAM: Stealthy Adversarial Attack on Monocular Depth Estimation.
IEEE Access, 2024

2023
Design and Analysis of High Performance Heterogeneous Block-based Approximate Adders.
ACM Trans. Embed. Comput. Syst., November, 2023

$\tt{PoisonedGNN}$: Backdoor Attack on Graph Neural Networks-Based Hardware Security Systems.
IEEE Trans. Computers, October, 2023

SeVuc: A study on the Security Vulnerabilities of Capsule Networks against adversarial attacks.
Microprocess. Microsystems, February, 2023

DAEM: A Data- and Application-Aware Error Analysis Methodology for Approximate Adders.
Inf., 2023

AdvRain: Adversarial Raindrops to Attack Camera-Based Smart Vision Systems.
Inf., 2023

DefensiveDR: Defending against Adversarial Patches using Dimensionality Reduction.
CoRR, 2023

ODDR: Outlier Detection & Dimension Reduction Based Defense Against Adversarial Patches.
CoRR, 2023

A Survey on Quantum Machine Learning: Current Trends, Challenges, Opportunities, and the Road Ahead.
CoRR, 2023

Physical Adversarial Attacks For Camera-based Smart Systems: Current Trends, Categorization, Applications, Research Challenges, and Future Outlook.
CoRR, 2023

SAAM: Stealthy Adversarial Attack on Monoculor Depth Estimation.
CoRR, 2023

Approximate Computing Survey, Part II: Application-Specific & Architectural Approximation Techniques and Applications.
CoRR, 2023

Approximate Computing Survey, Part I: Terminology and Software & Hardware Approximation Techniques.
CoRR, 2023

DAP: A Dynamic Adversarial Patch for Evading Person Detectors.
CoRR, 2023

eFAT: Improving the Effectiveness of Fault-Aware Training for Mitigating Permanent Faults in DNN Hardware Accelerators.
CoRR, 2023

RescueSNN: Enabling Reliable Executions on Spiking Neural Network Accelerators under Permanent Faults.
CoRR, 2023

EnforceSNN: Enabling Resilient and Energy-Efficient Spiking Neural Network Inference considering Approximate DRAMs for Embedded Systems.
CoRR, 2023

PoisonedGNN: Backdoor Attack on Graph Neural Networks-based Hardware Security Systems.
CoRR, 2023

scaleTRIM: Scalable TRuncation-Based Integer Approximate Multiplier with Linearization and Compensation.
CoRR, 2023

APARATE: Adaptive Adversarial Patch for CNN-based Monocular Depth Estimation for Autonomous Navigation.
CoRR, 2023

Physical Adversarial Attacks for Camera-Based Smart Systems: Current Trends, Categorization, Applications, Research Challenges, and Future Outlook.
IEEE Access, 2023

FAQ: Mitigating the Impact of Faults in the Weight Memory of DNN Accelerators through Fault-Aware Quantization.
Proceedings of the International Joint Conference on Neural Networks, 2023

Exploring Machine Learning Privacy/Utility Trade-Off from a Hyperparameters Lens.
Proceedings of the International Joint Conference on Neural Networks, 2023

Cross-Layer Approximations for System-Level Optimizations: Challenges and Opportunities.
Proceedings of the 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2023

Reduce: A Framework for Reducing the Overheads of Fault-Aware Retraining.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

2022
A cross-layer approach towards developing efficient embedded Deep Learning systems.
Microprocess. Microsystems, February, 2022

GNNUnlock+: A Systematic Methodology for Designing Graph Neural Networks-Based Oracle-Less Unlocking Schemes for Provably Secure Logic Locking.
IEEE Trans. Emerg. Top. Comput., 2022

Continual Learning for Real-World Autonomous Systems: Algorithms, Challenges and Frameworks.
J. Intell. Robotic Syst., 2022

Special Session: Towards an Agile Design Methodology for Efficient, Reliable, and Secure ML Systems.
Proceedings of the 40th IEEE VLSI Test Symposium, 2022

CoNLoCNN: Exploiting Correlation and Non-Uniform Quantization for Energy-Efficient Low-precision Deep Convolutional Neural Networks.
Proceedings of the International Joint Conference on Neural Networks, 2022

SoftSNN: low-cost fault tolerance for spiking neural network accelerators under soft errors.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
ROMANet: Fine-Grained Reuse-Driven Off-Chip Memory Access Management and Data Organization for Deep Neural Network Accelerators.
IEEE Trans. Very Large Scale Integr. Syst., 2021

FEECA: Design Space Exploration for Low-Latency and Energy-Efficient Capsule Network Accelerators.
IEEE Trans. Very Large Scale Integr. Syst., 2021

DESCNet: Developing Efficient Scratchpad Memories for Capsule Network Hardware.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

A survey of hardware architectures for generative adversarial networks.
J. Syst. Archit., 2021

Towards Energy-Efficient and Secure Edge AI: A Cross-Layer Framework.
CoRR, 2021

High Performance and Optimal Configuration of Accurate Heterogeneous Block-Based Approximate Adder.
CoRR, 2021

Exploiting Vulnerabilities in Deep Neural Networks: Adversarial and Fault-Injection Attacks.
CoRR, 2021

TiQSA: Workload Minimization in Convolutional Neural Networks Using Tile Quantization and Symmetry Approximation.
IEEE Access, 2021

ReSpawn: Energy-Efficient Fault-Tolerance for Spiking Neural Networks considering Unreliable Memories.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

UNTANGLE: Unlocking Routing and Logic Obfuscation Using Graph Neural Networks-based Link Prediction.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Towards Energy-Efficient and Secure Edge AI: A Cross-Layer Framework ICCAD Special Session Paper.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Emerging Computing Devices: Challenges and Opportunities for Test and Reliability<sup>*</sup>.
Proceedings of the 26th IEEE European Test Symposium, 2021

TRe-Map: Towards Reducing the Overheads of Fault-Aware Retraining of Deep Neural Networks by Merging Fault Maps.
Proceedings of the 24th Euromicro Conference on Digital System Design, 2021

DNN-Life: An Energy-Efficient Aging Mitigation Framework for Improving the Lifetime of On-Chip Weight Memories in Deep Neural Network Hardware Architectures.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

GNNUnlock: Graph Neural Networks-based Oracle-less Unlocking Scheme for Provably Secure Logic Locking.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

SparkXD: A Framework for Resilient and Energy-Efficient Spiking Neural Network Inference using Approximate DRAM.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021


2020
SuperSlash: A Unified Design Space Exploration and Model Compression Methodology for Design of Deep Learning Accelerators With Reduced Off-Chip Memory Access Volume.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

PEAL: Probabilistic Error Analysis Methodology for Low-power Approximate Adders.
ACM J. Emerg. Technol. Comput. Syst., 2020

SSCNets: Robustifying DNNs using Secure Selective Convolutional Filters.
IEEE Des. Test, 2020

Resistive Crossbar-Aware Neural Network Design and Optimization.
IEEE Access, 2020

APNAS: Accuracy-and-Performance-Aware Neural Architecture Search for Neural Hardware Accelerators.
IEEE Access, 2020

Cross-layer approaches for improving the dependability of deep learning systems.
Proceedings of the SCOPES '20: 23rd International Workshop on Software and Compilers for Embedded Systems, 2020

Dependable Deep Learning: Towards Cost-Efficient Resilience of Deep Neural Network Accelerators against Soft Errors and Permanent Faults.
Proceedings of the 26th IEEE International Symposium on On-Line Testing and Robust System Design, 2020

Is Spiking Secure? A Comparative Study on the Security Vulnerabilities of Spiking and Deep Neural Networks.
Proceedings of the 2020 International Joint Conference on Neural Networks, 2020

FasTrCaps: An Integrated Framework for Fast yet Accurate Training of Capsule Networks.
Proceedings of the 2020 International Joint Conference on Neural Networks, 2020

FaDec: A Fast Decision-based Attack for Adversarial Machine Learning.
Proceedings of the 2020 International Joint Conference on Neural Networks, 2020

FANNet: Formal Analysis of Noise Tolerance, Training Bias and Input Sensitivity in Neural Networks.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

ReD-CaNe: A Systematic Methodology for Resilience Analysis and Design of Capsule Networks under Approximations.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

FT-ClipAct: Resilience Analysis of Deep Neural Networks and Improving their Fault Tolerance using Clipped Activation.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

DRMap: A Generic DRAM Data Mapping Policy for Energy-Efficient Processing of Convolutional Neural Networks.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

PEMACx: A Probabilistic Error Analysis Methodology for Adders with Cascaded Approximate Units.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
X-TrainCaps: Accelerated Training of Capsule Nets through Lightweight Software Optimizations.
CoRR, 2019

ROMANet: Fine-Grained Reuse-Driven Data Organization and Off-Chip Memory Access Management for Deep Neural Network Accelerators.
CoRR, 2019

SNN under Attack: are Spiking Deep Belief Networks vulnerable to Adversarial Examples?
CoRR, 2019

RED-Attack: Resource Efficient Decision based Attack for Machine Learning.
CoRR, 2019

CapsAttacks: Robust and Imperceptible Adversarial Attacks on Capsule Networks.
CoRR, 2019

MACISH: Designing Approximate MAC Accelerators With Internal-Self-Healing.
IEEE Access, 2019

Deep Learning for Edge Computing: Current Trends, Cross-Layer Optimizations, and Open Research Challenges.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

MemGANs: Memory Management for Energy-Efficient Acceleration of Complex Computations in Hardware Architectures for Generative Adversarial Networks.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

TrISec: Training Data-Unaware Imperceptible Security Attacks on Deep Neural Networks.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

QuSecNets: Quantization-based Defense Mechanism for Securing Deep Neural Network against Adversarial Attacks.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

ALWANN: Automatic Layer-Wise Approximation of Deep Neural Network Accelerators without Retraining.
Proceedings of the International Conference on Computer-Aided Design, 2019

CapsAcc: An Efficient Hardware Accelerator for CapsuleNets with Data Reuse.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

FAdeML: Understanding the Impact of Pre-Processing Noise Filtering on Adversarial Machine Learning.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Building Robust Machine Learning Systems: Current Progress, Research Challenges, and Opportunities.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

autoAx: An Automatic Design Space Exploration and Circuit Building Methodology utilizing Libraries of Approximate Components.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

CANN: Curable Approximations for High-Performance Deep Neural Network Accelerators.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Hardware-Software Approximations for Deep Neural Networks.
Proceedings of the Approximate Circuits, Methodologies and CAD., 2019

Configurable Models and Design Space Exploration for Low-Latency Approximate Adders.
Proceedings of the Approximate Circuits, Methodologies and CAD., 2019

2018
X-DNNs: Systematic Cross-Layer Approximations for Energy-Efficient Deep Neural Networks.
J. Low Power Electron., 2018

A Methodology for Automatic Selection of Activation Functions to Design Hybrid Deep Neural Networks.
CoRR, 2018

SSCNets: A Selective Sobel Convolution-based Technique to Enhance the Robustness of Deep Neural Networks against Security Attacks.
CoRR, 2018

ISA4ML: Training Data-Unaware Imperceptible Security Attacks on Machine Learning Modules of Autonomous Vehicles.
CoRR, 2018

MPNA: A Massively-Parallel Neural Array Accelerator with Dataflow Optimization for Convolutional Neural Networks.
CoRR, 2018

Squash: Approximate Square-Accumulate With Self-Healing.
IEEE Access, 2018

Robustness for Smart Cyber Physical Systems and Internet-of-Things: From Adaptive Robustness Methods to Reliability and Security for Machine Learning.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Robust Machine Learning Systems: Reliability and Security for Deep Neural Networks.
Proceedings of the 24th IEEE International Symposium on On-Line Testing And Robust System Design, 2018

PruNet: Class-Blind Pruning Method For Deep Neural Networks.
Proceedings of the 2018 International Joint Conference on Neural Networks, 2018

Security for Machine Learning-Based Systems: Attacks and Challenges During Training and Inference.
Proceedings of the 2018 International Conference on Frontiers of Information Technology, 2018

HW/SW co-design and co-optimizations for deep learning.
Proceedings of the Workshop on INTelligent Embedded Systems Architectures and Applications, 2018

AdAM: Adaptive approximation management for the non-volatile memory hierarchies.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

DeMAS: An efficient design methodology for building approximate adders for FPGA-based systems.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Error resilience analysis for systematically employing approximate computing in convolutional neural networks.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

An overview of next-generation architectures for machine learning: Roadmap, opportunities and challenges in the IoT era.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Area-optimized low-latency approximate multipliers for FPGA-based hardware accelerators.
Proceedings of the 55th Annual Design Automation Conference, 2018

2017
QuAd: Design and Analysis of Quality-Area Optimal Low-Latency Approximate Adders.
Proceedings of the 54th Annual Design Automation Conference, 2017

2016
Detecting riots using action localization.
Proceedings of the 2016 IEEE International Conference on Image Processing, 2016


  Loading...