Kanad Basu

Orcid: 0000-0002-6431-7512

According to our database1, Kanad Basu authored at least 99 papers between 2008 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
DiagNNose: Toward Error Localization in Deep Learning Hardware-Based on VTA-TVM Stack.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., January, 2024

Quantum Leak: Timing Side-Channel Attacks on Cloud-Based Quantum Services.
CoRR, 2024

Hardware-based Detection of Malicious Firmware Modification in Microgrids.
Proceedings of the 37th International Conference on VLSI Design and 23rd International Conference on Embedded Systems, 2024

2023
Defense Against On-Chip Trojans Enabling Traffic Analysis Attacks Based on Machine Learning and Data Augmentation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023

Circuit Topology-Aware Vaccination-Based Hardware Trojan Detection.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., September, 2023

Trouble-Shooting at GAN Point: Improving Functional Safety in Deep Learning Accelerators.
IEEE Trans. Computers, August, 2023

SeqL+: Secure Scan-Obfuscation With Theoretical and Empirical Validation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., May, 2023

A Novel Low-Power Compression Scheme for Systolic Array-Based Deep Learning Accelerators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., April, 2023

Analysis and Mitigation of DRAM Faults in Sparse-DNN Accelerators.
IEEE Des. Test, April, 2023

SeVNoC: Security Validation of System-on-Chip Designs With NoC Fabrics.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., February, 2023

A Needle in the Haystack: Inspecting Circuit Layout to Identify Hardware Trojans.
IACR Cryptol. ePrint Arch., 2023

SCAR: Power Side-Channel Analysis at RTL-Level.
CoRR, 2023

QuBEC: Boosting Equivalence Checking for Quantum Circuits with QEC Embedding.
CoRR, 2023

Unlocking Hardware Security Assurance: The Potential of LLMs.
CoRR, 2023

Bottlenecks in Secure Adoption of Deep Neural Networks in Safety-Critical Applications.
Proceedings of the 66th IEEE International Midwest Symposium on Circuits and Systems, 2023

Enhanced ML-Based Approach for Functional Safety Improvement in Automotive AMS Circuits.
Proceedings of the IEEE International Test Conference, 2023

Application Profiling Using Register-Instruction Hardware Performance Counters.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2023

Search Space Reduction for Efficient Quantum Compilation.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023

Towards High-Level Synthesis of Quantum Circuits.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

2022
PolyWorm: Leveraging Polymorphic Behavior to Implant Hardware Trojans.
IEEE Trans. Emerg. Top. Comput., 2022

Real-Time Hardware-Based Malware and Micro-Architectural Attack Detection Utilizing CMOS Reservoir Computing.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

RTL-ConTest: Concolic Testing on RTL for Detecting Security Vulnerabilities.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Explainable Machine Learning for Intrusion Detection via Hardware Performance Counters.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Runtime Malware Detection Using Embedded Trace Buffers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

A Security Analysis of Circuit Clock Obfuscation.
Cryptogr., 2022

Machine Learning-enhanced Efficient Spectroscopic Ellipsometry Modeling.
CoRR, 2022

Special Session: Effective In-field Testing of Deep Neural Network Hardware Accelerators.
Proceedings of the 40th IEEE VLSI Test Symposium, 2022

RIBoNN: Designing Robust In-Memory Binary Neural Network Accelerators.
Proceedings of the IEEE International Test Conference, 2022

Unsupervised Learning-based Early Anomaly Detection in AMS Circuits of Automotive SoCs.
Proceedings of the IEEE International Test Conference, 2022

Fault Resilience of DNN Accelerators for Compressed Sensor Inputs.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022

Design and Logic Synthesis of a Scalable, Efficient Quantum Number Theoretic Transform.
Proceedings of the ISLPED '22: ACM/IEEE International Symposium on Low Power Electronics and Design, Boston, MA, USA, August 1, 2022

Detecting Functional Safety Violations in Online AI Accelerators.
Proceedings of the 28th IEEE International Symposium on On-Line Testing and Robust System Design, 2022

Design and Analysis of a Scalable and Efficient Quantum Circuit for LWE Matrix Arithmetic.
Proceedings of the IEEE 40th International Conference on Computer Design, 2022

A Semi-formal Information Flow Validation for Analyzing Secret Asset Propagation in COTS IC Integrated Systems.
Proceedings of the GLSVLSI '22: Great Lakes Symposium on VLSI 2022, Irvine CA USA, June 6, 2022

2021
Toward Functional Safety of Systolic Array-Based Deep Learning Hardware Accelerators.
IEEE Trans. Very Large Scale Integr. Syst., 2021

Hardware Performance Counters: Ready-Made vs Tailor-Made.
ACM Trans. Embed. Comput. Syst., 2021

WiND: An Efficient Post-Silicon Debug Strategy for Network on Chip.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Defending Hardware-Based Malware Detectors Against Adversarial Attacks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

SoCCAR: Detecting System-on-Chip Security Violations Under Asynchronous Resets.
IACR Cryptol. ePrint Arch., 2021

Two Sides of the Same Coin: Boons and Banes of Machine Learning in Hardware Security.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2021

Hardware Security in Emerging Technologies: Vulnerabilities, Attacks, and Solutions.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2021

Special Session: Reliability Analysis for ML/AI Hardware.
CoRR, 2021

Special Session: Reliability Analysis for AI/ML Hardware.
Proceedings of the 39th IEEE VLSI Test Symposium, 2021

Efficient Hierarchical Post-Silicon Validation and Debug.
Proceedings of the 34th International Conference on VLSI Design and 20th International Conference on Embedded Systems, 2021

Exploring Fault-Energy Trade-offs in Approximate DNN Hardware Accelerators.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

Application of Machine Learning in Hardware Trojan Detection.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

HardCompress: A Novel Hardware-based Low-power Compression Scheme for DNN Accelerators.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

Can Overclocking Detect Hardware Trojans?
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

What Can a Remote Access Hardware Trojan do to a Network-on-Chip?
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

An Ultra-efficient Look-up Table based Programmable Processing in Memory Architecture for Data Encryption.
Proceedings of the 39th IEEE International Conference on Computer Design, 2021

Time Series-Based Malware Detection Using Hardware Performance Counters.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2021

Hardware-assisted Detection of Malware in Automotive-Based Systems.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Secure Logic Locking with Strain-Protected Nanomagnet Logic.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
A Methodology to Capture Fine-Grained Internal Visibility During Multisession Silicon Debug.
IEEE Trans. Very Large Scale Integr. Syst., 2020

A Theoretical Study of Hardware Performance Counters-Based Malware Detection.
IEEE Trans. Inf. Forensics Secur., 2020

COPPTCHA: COPPA Tracking by Checking Hardware-Level Activity.
IEEE Trans. Inf. Forensics Secur., 2020

Post-Silicon Gate-Level Error Localization With Effective and Combined Trace Signal Selection.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Security Assessment of Interposer-based Chiplet Integration.
CoRR, 2020

Hardware-Assisted Detection of Firmware Attacks in Inverter-Based Cyberphysical Microgrids.
CoRR, 2020

Benchmarking at the Frontier of Hardware Security: Lessons from Logic Locking.
CoRR, 2020

Hardware Trojan Detection Using Controlled Circuit Aging.
IEEE Access, 2020

Special Session: The Recent Advance in Hardware Implementation of Post-Quantum Cryptography.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020

A Low Overhead Methodology for Validating Memory Consistency Models in Chip Multiprocessors.
Proceedings of the 33rd International Conference on VLSI Design and 19th International Conference on Embedded Systems, 2020

Analyzing the Efficiency of Machine Learning Classifiers in Hardware-Based Malware Detectors.
Proceedings of the 2020 IEEE Computer Society Annual Symposium on VLSI, 2020

High-level Modeling of Manufacturing Faults in Deep Neural Network Accelerators.
Proceedings of the 26th IEEE International Symposium on On-Line Testing and Robust System Design, 2020

ND-HMDs: Non-Differentiable Hardware Malware Detectors against Evasive Transient Execution Attacks.
Proceedings of the 38th IEEE International Conference on Computer Design, 2020

Defense Against on-Chip Trojans Enabling Traffic Analysis Attacks.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2020

2019
Black-Hat High-Level Synthesis: Myth or Reality?
IEEE Trans. Very Large Scale Integr. Syst., 2019

CAD-Base: An Attack Vector into the Electronics Supply Chain.
ACM Trans. Design Autom. Electr. Syst., 2019

NIST Post-Quantum Cryptography- A Hardware Evaluation Study.
IACR Cryptol. ePrint Arch., 2019

Guest Editorial.
J. Electron. Test., 2019

Fault-Tolerant Systolic Array Based Accelerators for Deep Neural Network Execution.
IEEE Des. Test, 2019

Hardware Trojans Inspired IP Watermarks.
IEEE Des. Test, 2019

Efficient Post-Silicon Validation of Network-on-Chip Using Wireless Links.
Proceedings of the 32nd International Conference on VLSI Design and 18th International Conference on Embedded Systems, 2019

Power, Area, Speed, and Security (PASS) Trade-Offs of NIST PQC Signature Candidates Using a C to ASIC Design Flow.
Proceedings of the 37th IEEE International Conference on Computer Design, 2019

High-Level Synthesis of Benevolent Trojans.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

PREEMPT: PReempting Malware by Examining Embedded Processor Traces.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Can Monitoring System State + Counting Custom Instruction Sequences Aid Malware Detection?
Proceedings of the 28th IEEE Asian Test Symposium, 2019

2018
Analyzing and mitigating the impact of permanent faults on a systolic array based neural network accelerator.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018

Special session on intelligent sensor nodes.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018

ELURA: A Methodology for Post-Silicon Gate-Level Error Localization Using Regression Analysis.
Proceedings of the 31st International Conference on VLSI Design and 17th International Conference on Embedded Systems, 2018

Abetting Planned Obsolescence by Aging 3D Networks-on-Chip.
Proceedings of the Twelfth IEEE/ACM International Symposium on Networks-on-Chip, 2018

A Technique for Electrical Error Localization with Learning Methods During Post-silicon Debugging.
Proceedings of the Ninth International Green and Sustainable Computing Conference, 2018

2017
Improving post-silicon error detection with topological selection of trace signals.
Proceedings of the 2017 IFIP/IEEE International Conference on Very Large Scale Integration, 2017

Deterministic Shift Power Reduction in Test Compression.
Proceedings of the VLSI Design and Test - 21st International Symposium, 2017

A Formal Perspective on Effective Post-silicon Debug and Trace Signal Selection.
Proceedings of the VLSI Design and Test - 21st International Symposium, 2017

RTL level trace signal selection and coverage estimation during post-silicon validation.
Proceedings of the 2017 IEEE International High Level Design Validation and Test Workshop, 2017

2016
Post-Silicon Validation and Diagnosis.
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016

2013
RATS: Restoration-Aware Trace Signal Selection for Post-Silicon Validation.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Bitmask aware compression of NISC control words.
Integr., 2013

Observability-aware Directed Test Generation for Soft Errors and Crosstalk Faults.
Proceedings of the 26th International Conference on VLSI Design and 12th International Conference on Embedded Systems, 2013

Dynamic Selection of Trace Signals for Post-Silicon Debug.
Proceedings of the 14th International Workshop on Microprocessor Test and Verification, 2013

2012
A Novel Approach for Handling Misbehaving Nodes in Behavior-Aware Mobile Networking
CoRR, 2012

Constrained signal selection for post-silicon validation.
Proceedings of the 2012 IEEE International High Level Design Validation and Test Workshop, 2012

2011
Efficient trace data compression using statically selected dictionary.
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

Efficient Trace Signal Selection for Post Silicon Validation and Debug.
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011

Efficient combination of trace and scan signals for post silicon validation and debug.
Proceedings of the 2011 IEEE International Test Conference, 2011

2010
Test Data Compression Using Efficient Bitmask and Dictionary Selection Methods.
IEEE Trans. Very Large Scale Integr. Syst., 2010

2008
A novel test-data compression technique using application-aware bitmask and dictionary selection methods.
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008


  Loading...