Siddharth Garg

Orcid: 0000-0002-6158-9512

According to our database1, Siddharth Garg authored at least 191 papers between 2004 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Privacy-Preserving Collaborative Learning Through Feature Extraction.
IEEE Trans. Dependable Secur. Comput., 2024

On the (In)feasibility of ML Backdoor Detection as an Hypothesis Testing Problem.
CoRR, 2024

An Empirical Evaluation of LLMs for Solving Offensive Security Challenges.
CoRR, 2024

Make Every Move Count: LLM-based High-Quality RTL Code Generation Using MCTS.
CoRR, 2024

Novel Quadratic Constraints for Extending LipSDP beyond Slope-Restricted Activations.
CoRR, 2024

Retrieval-Guided Reinforcement Learning for Boolean Circuit Minimization.
CoRR, 2024

2023
Lost at C: Data from the Security-focused User Study.
Dataset, March, 2023

Optimizing the Use of Behavioral Locking for High-Level Synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., February, 2023

Fairness via In-Processing in the Over-parameterized Regime: A Cautionary Tale with MinDiff Loss.
Trans. Mach. Learn. Res., 2023

Differential Analysis of Triggers and Benign Features for Black-Box DNN Backdoor Detection.
IEEE Trans. Inf. Forensics Secur., 2023

Bulls-Eye: Active Few-Shot Learning Guided Logic Synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2023

AutoChip: Automating HDL Generation Using LLM Feedback.
CoRR, 2023

LipSim: A Provably Robust Perceptual Similarity Metric.
CoRR, 2023

Towards the Imagenets of ML4EDA.
CoRR, 2023

Are Emily and Greg Still More Employable than Lakisha and Jamal? Investigating Algorithmic Hiring Bias in the Era of ChatGPT.
CoRR, 2023

PriViT: Vision Transformers for Fast Private Inference.
CoRR, 2023

VeriGen: A Large Language Model for Verilog Code Generation.
CoRR, 2023

R-LPIPS: An Adversarially Robust Perceptual Similarity Metric.
CoRR, 2023

INVICTUS: Optimizing Boolean Logic Circuit Synthesis via Synergistic Learning and Search.
CoRR, 2023

Can deepfakes be created by novice users?
CoRR, 2023

A Minimax Approach Against Multi-Armed Adversarial Attacks Detection.
CoRR, 2023

Hyper-parameter Tuning for Fair Classification without Sensitive Attribute Access.
CoRR, 2023

Can Deepfakes be created on a whim?
Proceedings of the Companion Proceedings of the ACM Web Conference 2023, 2023

Lost at C: A User Study on the Security Implications of Large Language Model Code Assistants.
Proceedings of the 32nd USENIX Security Symposium, 2023

Towards better certified segmentation via diffusion models.
Proceedings of the Uncertainty in Artificial Intelligence, 2023

Exploiting Connections between Lipschitz Structures for Certifiably Robust Deep Equilibrium Models.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023

ConVERTS: Contrastively Learning Structurally InVariant Netlist Representations.
Proceedings of the 5th ACM/IEEE Workshop on Machine Learning for CAD, 2023

Chip-Chat: Challenges and Opportunities in Conversational Hardware Design.
Proceedings of the 5th ACM/IEEE Workshop on Machine Learning for CAD, 2023

Path Planning Under Uncertainty to Localize mmWave Sources.
Proceedings of the IEEE International Conference on Robotics and Automation, 2023

Invited Paper: Towards the Imagenets of ML4EDA.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Precoding-oriented Massive MIMO CSI Feedback Design.
Proceedings of the IEEE International Conference on Communications, 2023

Benchmarking Large Language Models for Automated Verilog RTL Code Generation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

ALMOST: Adversarial Learning to Mitigate Oracle-less ML Attacks via Synthesis Tuning.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Special Session: Machine Learning for Embedded System Design.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2023

Characterizing and Optimizing End-to-End Systems for Private Inference.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

2022
Lost at C: Data from the Security-focused User Study.
Dataset, October, 2022

Overriding Autonomous Driving Systems Using Adaptive Adversarial Billboards.
IEEE Trans. Intell. Transp. Syst., 2022

Robust Deep Learning for IC Test Problems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Millimeter Wave Wireless Assisted Robot Navigation With Link State Classification.
IEEE Open J. Commun. Soc., 2022

Guest Editorial: Trustworthy AI.
ACM J. Emerg. Technol. Comput. Syst., 2022

Sphynx: A Deep Neural Network Design for Private Inference.
IEEE Secur. Priv., 2022

An Upper Bound for the Distribution Overlap Index and Its Applications.
CoRR, 2022

Security Implications of Large Language Model Code Assistants: A User Study.
CoRR, 2022

Fairness via In-Processing in the Over-parameterized Regime: A Cautionary Tale.
CoRR, 2022

Denial-of-Service Attacks on Learned Image Compression.
CoRR, 2022

Too Big to Fail? Active Few-Shot Learning Guided Logic Synthesis.
CoRR, 2022

A Feature-Based On-Line Detector to Remove Adversarial-Backdoors by Iterative Demarcation.
IEEE Access, 2022

Feature Compression for Rate Constrained Object Detection on the Edge.
Proceedings of the 5th IEEE International Conference on Multimedia Information Processing and Retrieval, 2022

Selective Network Linearization for Efficient Private Inference.
Proceedings of the International Conference on Machine Learning, 2022

High-level design methods for hardware security: is it the right choice? invited.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Locked Circuit Indistinguishability: A Notion of Security for Logic Locking.
Proceedings of the 35th IEEE Computer Security Foundations Symposium, 2022

Protecting Hardware IP Cores During High-Level Synthesis.
Behavioral Synthesis for Hardware Security, 2022

2021
ASSURE: RTL Locking Against an Untrusted Foundry.
IEEE Trans. Very Large Scale Integr. Syst., 2021

Bias Busters: Robustifying DL-Based Lithographic Hotspot Detectors Against Backdooring Attacks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Training Data Poisoning in ML-CAD: Backdooring DL-Based Lithographic Hotspot Detectors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

A Concentration of Measure Approach to Correlated Graph Matching.
IEEE J. Sel. Areas Inf. Theory, 2021

Adversarially Robust Learning via Entropic Regularization.
Frontiers Artif. Intell., 2021

Guest Editors' Introduction: Competing to Secure SoCs.
IEEE Des. Test, 2021

CryptoNite: Revealing the Pitfalls of End-to-End Private Inference at Scale.
CoRR, 2021

Millimeter Wave Wireless-Assisted Robotic Navigation with Link State Classification.
CoRR, 2021

OpenABC-D: A Large-Scale Dataset For Machine Learning Guided Integrated Circuit Synthesis.
CoRR, 2021

Sphynx: ReLU-Efficient Network Design for Private Inference.
CoRR, 2021

On the Optimization of Behavioral Logic Locking for High-Level Synthesis.
CoRR, 2021

Special Section on Attacking and Protecting Artificial Intelligence.
CAAI Trans. Intell. Technol., 2021

Single-Shot Compression for Hypothesis Testing.
Proceedings of the 22nd IEEE International Workshop on Signal Processing Advances in Wireless Communications, 2021

Circa: Stochastic ReLUs for Private Deep Learning.
Proceedings of the Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, 2021

Generating and Characterizing Scenarios for Safety Testing of Autonomous Vehicles.
Proceedings of the IEEE Intelligent Vehicles Symposium, 2021

On Graph Matching Using Generalized Seed Side-Information.
Proceedings of the IEEE International Symposium on Information Theory, 2021

DeepReDuce: ReLU Reduction for Fast Private Inference.
Proceedings of the 38th International Conference on Machine Learning, 2021

Vertical IP Protection of the Next-Generation Devices: Quo Vadis?
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Invited: Independent Verification and Validation of Security-Aware EDA Tools and IP.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Fortifying RTL Locking Against Oracle-Less (Untrusted Foundry) and Oracle-Guided Attacks.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

NNoculation: Catching BadNets in the Wild.
Proceedings of the AISec@CCS 2021: Proceedings of the 14th ACM Workshop on Artificial Intelligence and Security, 2021

Attacking a CNN-based Layout Hotspot Detector Using Group Gradient Method.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Subverting Privacy-Preserving GANs: Hiding Secrets in Sanitized Images.
Proceedings of the Thirty-Fifth AAAI Conference on Artificial Intelligence, 2021

2020
Adversarial Perturbation Attacks on ML-based CAD: A Case Study on CNN-based Lithographic Hotspot Detection.
ACM Trans. Design Autom. Electr. Syst., 2020

The SAT Attack on IC Camouflaging: Impact and Potential Countermeasures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Do Not Trust, Verify: A Verifiable Hardware Accelerator for Matrix Multiplication.
IEEE Embed. Syst. Lett., 2020

Enabling Timing Error Resilience for Low-Power Systolic-Array Based Deep Learning Accelerators.
IEEE Des. Test, 2020

Bait and Switch: Online Training Data Poisoning of Autonomous Driving Systems.
CoRR, 2020

Detecting Backdoors in Neural Networks Using Novel Feature-Based Anomaly Detection.
CoRR, 2020

On Evaluating Neural Network Backdoor Defenses.
CoRR, 2020

Adversarially Robust Learning via Entropic Regularization.
CoRR, 2020

NNoculation: Broad Spectrum and Targeted Treatment of Backdoored DNNs.
CoRR, 2020

On the Joint Typicality of Permutations of Sequences of Random Variables.
CoRR, 2020

SafeTPU: A Verifiably Secure Hardware Accelerator for Deep Neural Networks.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020

CryptoNAS: Private Inference on a ReLU Budget.
Proceedings of the Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, 2020

Model-Switching: Dealing with Fluctuating Workloads in Machine-Learning-as-a-Service Systems.
Proceedings of the 12th USENIX Workshop on Hot Topics in Cloud Computing, 2020

Anomaly Detection in Embedded Systems Using Power and Memory Side Channels.
Proceedings of the IEEE European Test Symposium, 2020

Is Register Transfer Level Locking Secure?
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Poisoning the (Data) Well in ML-Based CAD: A Case Study of Hiding Lithographic Hotspots.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
CompAct: On-chip <underline>Com</underline>pression of <underline>Act</underline>ivations for Low Power Systolic Array Based CNN Acceleration.
ACM Trans. Embed. Comput. Syst., 2019

TaintHLS: High-Level Synthesis for Dynamic Information Flow Tracking.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Split Manufacturing-Based Register Transfer-Level Obfuscation.
ACM J. Emerg. Technol. Comput. Syst., 2019

Fault-Tolerant Systolic Array Based Accelerators for Deep Neural Network Execution.
IEEE Des. Test, 2019

Are Adversarial Perturbations a Showstopper for ML-Based CAD? A Case Study on CNN-Based Lithographic Hotspot Detection.
CoRR, 2019

BadNets: Evaluating Backdooring Attacks on Deep Neural Networks.
IEEE Access, 2019

A Concentration of Measure Approach to Database De-anonymization.
Proceedings of the IEEE International Symposium on Information Theory, 2019

Adaptive Adversarial Videos on Roadside Billboards: Dynamically Modifying Trajectories of Autonomous Vehicles.
Proceedings of the 2019 IEEE/RSJ International Conference on Intelligent Robots and Systems, 2019

TrojanZero: Switching Activity-Aware Design of Undetectable Hardware Trojans with Zero Power and Area Footprint.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Building Robust Machine Learning Systems: Current Progress, Research Challenges, and Opportunities.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
Securing Hardware Accelerators: A New Challenge for High-Level Synthesis.
IEEE Embed. Syst. Lett., 2018

Outsourcing Private Machine Learning via Lightweight Secure Arithmetic Computation.
CoRR, 2018

ThUnderVolt: Enabling Aggressive Voltage Underscaling and Timing Error Resilience for Energy Efficient Deep Neural Network Accelerators.
CoRR, 2018

Chapter Five - Dynamic Power Management for Dark Silicon Multicore Processors<sup>☆</sup>.
Adv. Comput., 2018

Analyzing and mitigating the impact of permanent faults on a systolic array based neural network accelerator.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018

Special session: Recent developments in hardware security.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018

Fine-Pruning: Defending Against Backdooring Attacks on Deep Neural Networks.
Proceedings of the Research in Attacks, Intrusions, and Defenses, 2018

Optimal Active social Network De-anonymization Using Information Thresholds.
Proceedings of the 2018 IEEE International Symposium on Information Theory, 2018

Typicality Matching for Pairs of Correlated Graphs.
Proceedings of the 2018 IEEE International Symposium on Information Theory, 2018

FATE: fast and accurate timing error prediction framework for low power DNN accelerator design.
Proceedings of the International Conference on Computer-Aided Design, 2018

Thundervolt: enabling aggressive voltage underscaling and timing error resilience for energy efficient deep learning accelerators.
Proceedings of the 55th Annual Design Automation Conference, 2018

TAO: techniques for algorithm-level obfuscation during high-level synthesis.
Proceedings of the 55th Annual Design Automation Conference, 2018

Matching Graphs with Community Structure: A Concentration of Measure Approach.
Proceedings of the 56th Annual Allerton Conference on Communication, 2018

2017
Thread Progress Equalization: Dynamically Adaptive Power-Constrained Performance Optimization of Multi-Threaded Applications.
IEEE Trans. Computers, 2017

Guest Editors' Introduction: Computing in the Dark Silicon Era.
IEEE Des. Test, 2017

Computing in the Dark Silicon Era: Current Trends and Research Challenges.
IEEE Des. Test, 2017

Reverse Engineering Camouflaged Sequential Integrated Circuits Without Scan Access.
CoRR, 2017

BadNets: Identifying Vulnerabilities in the Machine Learning Model Supply Chain.
CoRR, 2017

Logic Locking for Secure Outsourced Chip Fabrication: A New Attack and Provably Secure Defense Mechanism.
CoRR, 2017

IoT-enabled Distributed Cyber-attacks on Transmission and Distribution Grids.
CoRR, 2017

SafetyNets: Verifiable Execution of Deep Neural Networks on an Untrusted Cloud.
Proceedings of the Advances in Neural Information Processing Systems 30: Annual Conference on Neural Information Processing Systems 2017, 2017

Rethinking split manufacturing: An information-theoretic approach with secure layout techniques.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

Reverse engineering camouflaged sequential circuits without scan access.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

Optimal checkpointing for secure intermittently-powered IoT devices.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

The Need for Declarative Properties in Digital IC Security.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

BandiTS: Dynamic timing speculation using multi-armed bandit based optimization.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Inspiring trust in outsourced integrated circuit fabrication.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

An information theoretic framework for active de-anonymization in social networks based on group memberships.
Proceedings of the 55th Annual Allerton Conference on Communication, 2017

Seeded graph matching: Efficient algorithms and theoretical guarantees.
Proceedings of the 51st Asilomar Conference on Signals, Systems, and Computers, 2017

2016
Energy Storage and Regulation: An Analysis.
IEEE Trans. Smart Grid, 2016

Learning-Based Power/Performance Optimization for Many-Core Systems With Extended-Range Voltage/Frequency Scaling.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Fragility of the commons under prospect-theoretic risk attitudes.
Games Econ. Behav., 2016

Variability and Reliability Awareness in the Age of Dark Silicon.
IEEE Des. Test, 2016

Thread Progress Equalization: Dynamically Adaptive Power and Performance Optimization of Multi-threaded Applications.
CoRR, 2016

Non-Deterministic Timers for Hardware Trojan Activation (or How a Little Randomness Can Go the Wrong Way).
Proceedings of the 10th USENIX Workshop on Offensive Technologies, 2016

Threshold-Dependent Camouflaged Cells to Secure Circuits Against Reverse Engineering Attacks.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

Power-aware virtual machine mapping in the data-center-on-a-chip paradigm.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

Can flexible, domain specific programmable logic prevent IP theft?
Proceedings of the 2016 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2016

Synergistic timing speculation for multi-threaded programs.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Optimal de-anonymization in random graphs with community structure.
Proceedings of the 50th Asilomar Conference on Signals, Systems and Computers, 2016

2015
Verifiable ASICs.
IACR Cryptol. ePrint Arch., 2015

Analysis of energy- and SoC-neutral contracts for frequency regulation with energy storage.
Proceedings of the 2015 IEEE International Conference on Smart Grid Communications, 2015

Dark Silicon: From Computation to Communication.
Proceedings of the 9th International Symposium on Networks-on-Chip, 2015

Integrated Circuit (IC) Decamouflaging: Reverse Engineering Camouflaged ICs within Minutes.
Proceedings of the 22nd Annual Network and Distributed System Security Symposium, 2015

Energy efficient scheduling for web search on heterogeneous microservers.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015

Energy-efficient computing from systems-on-chip to micro-server and data centers.
Proceedings of the Sixth International Green and Sustainable Computing Conference, 2015

Variability-aware dark silicon management in on-chip many-core systems.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

2014
Statistical Peak Temperature Prediction and Thermal Yield Improvement for 3D Chip Multiprocessors.
ACM Trans. Design Autom. Electr. Syst., 2014

Reliable Computing with Ultra-Reduced Instruction Set Coprocessors.
IEEE Micro, 2014

State of Charge Evolution Equations for Flywheels.
CoRR, 2014

An analysis of energy storage and regulation.
Proceedings of the 2014 IEEE International Conference on Smart Grid Communications, 2014

Shielding and securing integrated circuits with sensors.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

The EDA Challenges in the Dark Silicon Era: Temperature, Reliability, and Variability Perspectives.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Dark silicon as a challenge for hardware/software co-design.
Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis, 2014

Job arrival rate aware scheduling for asymmetric multi-core servers in the dark silicon era.
Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis, 2014

2013
Mitigating the Impact of Process Variation on the Performance of 3-D Integrated Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Addressing Process Variations at the Microarchitecture and System Level.
Found. Trends Electron. Des. Autom., 2013

A Hybrid Amplitude/Time Encoding Scheme for Enhancing Coding Efficiency and Dynamic Range in Digitally Modulated Power Amplifiers.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2013

Tagged probabilistic simulation based error probability estimation for better-than-worst case circuit design.
Proceedings of the 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, 2013

Securing Computer Hardware Using 3D Integrated Circuit (IC) Technology and Split Manufacturing for Obfuscation.
Proceedings of the 22th USENIX Security Symposium, Washington, DC, USA, August 14-16, 2013, 2013

Vertically-addressed test structures (VATS) for 3D IC variability and stress measurements.
Proceedings of the International Symposium on Quality Electronic Design, 2013

Impact of manufacturing process variations on performance and thermal characteristics of 3D ICs: Emerging challenges and new solutions.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Cherry-picking: exploiting process variations in dark-silicon homogeneous chip multi-processors.
Proceedings of the Design, Automation and Test in Europe, 2013

Low cost permanent fault detection using ultra-reduced instruction set co-processors.
Proceedings of the Design, Automation and Test in Europe, 2013

HaDeS: architectural synthesis for <u>h</u>eterogeneous <u>d</u>ark <u>s</u>ilicon chip multi-processors.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Learning the optimal operating point for many-core systems with extended range voltage/frequency scaling.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2013

Resource sharing games with failures and heterogeneous risk attitudes.
Proceedings of the 51st Annual Allerton Conference on Communication, 2013

2012
Exploiting Process Variability in Voltage/Frequency Control.
IEEE Trans. Very Large Scale Integr. Syst., 2012

System-Level Leakage Variability Mitigation for MPSoC Platforms Using Body-Bias Islands.
IEEE Trans. Very Large Scale Integr. Syst., 2012

On the Impact of Manufacturing Process Variations on the Lifetime of Sensor Networks.
ACM Trans. Embed. Comput. Syst., 2012

Technology-driven limits on runtime power management algorithms for multiprocessor systems-on-chip.
ACM J. Emerg. Technol. Comput. Syst., 2012

Analysis and evaluation of greedy thread swapping based dynamic power management for MPSoC platforms.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012

EmPower: FPGA based rapid prototyping of dynamic power management algorithms for multi-processor systems on chip.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

EmPower: FPGA based emulation of dynamic power management algorithms for multi-core systems on chip (abstract only).
Proceedings of the ACM/SIGDA 20th International Symposium on Field Programmable Gate Arrays, 2012

Reliable computing with ultra-reduced instruction set co-processors.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
Robust heterogeneous data center design: a principled approach.
SIGMETRICS Perform. Evaluation Rev., 2011

Special session 4A: New topics parametric yield and reliability of 3D integrated circuits: New challenges and solutions.
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

Statistical thermal evaluation and mitigation techniques for 3D Chip-Multiprocessors in the presence of process variations.
Proceedings of the Design, Automation and Test in Europe, 2011

2010
Custom feedback control: enabling truly scalable on-chip power management for MPSoCs.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

Process variation aware performance modeling and dynamic power management for multi-core systems.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

2009
3D-GCP: An analytical model for the impact of process variations on the critical path delay distribution of 3D ICs.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

System-level process variability analysis and mitigation for 3D MPSoCs.
Proceedings of the Design, Automation and Test in Europe, 2009

Technology-driven limits on DVFS controllability of multiple voltage-frequency island designs: a system-level perspective.
Proceedings of the 46th Design Automation Conference, 2009

2008
System-level throughput analysis for process variation aware multiple voltage-frequency island designs.
ACM Trans. Design Autom. Electr. Syst., 2008

Process-Driven Variability Analysis of Single and Multiple Voltage-Frequency Island Latency-Constrained Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Voting-based active contour segmentation of fMRI images of the brain.
Proceedings of the International Conference on Image Processing, 2008

System-level mitigation of WID leakage power variability using body-bias islands.
Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, 2008

2007
Interactive presentation: System-level process variation driven throughput analysis for single and multiple voltage-frequency island designs.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2006
System-level process-driven variability analysis for single and multiple voltage-frequency island systems.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

2004
Static Transition Probability Analysis Under Uncertainty.
Proceedings of the 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 2004


  Loading...