Boris Murmann

Orcid: 0000-0003-3417-8782

Affiliations:
  • Stanford University, USA


According to our database1, Boris Murmann authored at least 143 papers between 2003 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Awards

IEEE Fellow

IEEE Fellow 2015, "For contributions to the design of digitally-assisted analog integrated circuits".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
A 1024-Channel 268-nW/Pixel 36×36 μm<sup>2</sup>/Channel Data-Compressive Neural Recording IC for High-Bandwidth Brain-Computer Interfaces.
IEEE J. Solid State Circuits, April, 2024

TinyForge: A Design Space Exploration to Advance Energy and Silicon Area Trade-offs in tinyML Compute Architectures with Custom Latch Arrays.
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024

2023
Data Compression Versus Signal Fidelity Tradeoff in Wired-OR Analog-to-Digital Compressive Arrays for Neural Recording.
IEEE Trans. Biomed. Circuits Syst., August, 2023

Special Issue on TinyML.
IEEE Micro, 2023

A 0.6-1.8-mW 3.4-dB NF Mixer-First Receiver With an N-Path Harmonic-Rejection Transformer-Mixer.
IEEE J. Solid State Circuits, 2023

Capturing Layout Dependent Effects in MOSFET Circuit Sizing Using Precomputed Lookup Tables.
IEEE Access, 2023

A 1024-Channel 268 nW/pixel 36x36 μm<sup>2</sup>/ch Data-Compressive Neural Recording IC for High-Bandwidth Brain-Computer Interfaces.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

Testbench on a Chip: A Yield Test Vehicle for Resistive Memory Devices.
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023

High-Linearity High-Bandwidth (>20GHz) T&H Front Ends Using Active Bootstrapping and Heterogeneous SiGe/CMOS Circuit Co-Design.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

EMBER: A 100 MHz, 0.86 mm<sup>2</sup>, Multiple-Bits-per-Cell RRAM Macro in 40 nm CMOS with Compact Peripherals and 1.0 pJ/bit Read Circuitry.
Proceedings of the 49th IEEE European Solid State Circuits Conference, 2023

2022
CHIMERA: A 0.92-TOPS, 2.2-TOPS/W Edge AI Accelerator With 2-MByte On-Chip Foundry Resistive RAM for Efficient Training and Inference.
IEEE J. Solid State Circuits, 2022

Fair and Comprehensive Benchmarking of Machine Learning Processing Chips.
IEEE Des. Test, 2022

Innovating at Speed and at Scale: A Next Generation Infrastructure for Accelerating Semiconductor Technologies.
CoRR, 2022

Improving the Energy Efficiency and Robustness of tinyML Computer Vision using Log-Gradient Input Images.
CoRR, 2022

Bridging the Physical and Digital Worlds in Data-Driven Systems.
Proceedings of the 2022 International Symposium on VLSI Design, Automation and Test, 2022

A 56 GS/s 8-bit 0.011 mm<sup>2</sup> 4x Delta-Interleaved Switched-Capacitor DAC in 16nm FinFET CMOS.
Proceedings of the 48th IEEE European Solid State Circuits Conference, 2022

Data Compression versus Signal Fidelity Trade-off in Wired-OR ADC Arrays for Neural Recording.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2022

2021
Analog and Mixed-Signal Layout Automation Using Digital Place-and-Route Tools.
IEEE Trans. Very Large Scale Integr. Syst., 2021

Mixed-Signal Computing for Deep Neural Network Inference.
IEEE Trans. Very Large Scale Integr. Syst., 2021

An 800 nW Switched-Capacitor Feature Extraction Filterbank for Sound Classification.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

A 7-bit 2 GS/s Time-Interleaved SAR ADC With Timing Skew Calibration Based on Current Integrating Sampler.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

A 32 Gb/s PAM-4 Optical Transceiver With Active Back Termination in 40 nm CMOS Technology.
IEEE Open J. Circuits Syst., 2021

A 2✖ Time-Interleaved 28-GS/s 8-Bit 0.03-mm<sup>2</sup> Switched-Capacitor DAC in 16-nm FinFET CMOS.
IEEE J. Solid State Circuits, 2021

Stability of Gated Recurrent Unit Neural Networks: Convex Combination Formulation Approach.
J. Optim. Theory Appl., 2021

A 4-bit Mixed-Signal MAC Array with Swing Enhancement and Local Kernel Memory.
Proceedings of the 64th IEEE International Midwest Symposium on Circuits and Systems, 2021

F4: Electronics for a Quantum World.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

TinyML: Current Progress, Research Challenges, and Future Roadmap.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
Distortion Analysis of RC Integrators With Wideband Input Signals.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020

Low-Rank Training of Deep Neural Networks for Emerging Memory Technology.
CoRR, 2020

Going Beyond the Debye Length: Overcoming Charge Screening Limitations in Next-Generation Bioelectronic Sensors.
CoRR, 2020

Analog IC Design Using Precomputed Lookup Tables: Challenges and Solutions.
IEEE Access, 2020

A Compact 14 GS/s 8-Bit Switched-Capacitor DAC in 16 nm FinFET CMOS.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

Wearable System Design using Intrinsically Stretchable Temperature Sensor.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Implications of Finite Clock Transition Time for LPTV Circuit Analysis.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Design Considerations for External Compensation Approaches to OLED Display Degradation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Sensory Particles with Optical Telemetry.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Separating the Effects of Batch Normalization on CNN Training Speed and Stability Using Classical Adaptive Filter Theory.
Proceedings of the 54th Asilomar Conference on Signals, Systems, and Computers, 2020

2019
A Spectrum-Sensing DPD Feedback Receiver With 30× Reduction in ADC Acquisition Bandwidth and Sample Rate.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A Data-Compressive Wired-OR Readout for Massively Parallel Neural Recording.
IEEE Trans. Biomed. Circuits Syst., 2019

A Data-Compressive 1.5/2.75-bit Log-Gradient QVGA Image Sensor With Multi-Scale Readout for Always-On Object Detection.
IEEE J. Solid State Circuits, 2019

An Always-On 3.8 $\mu$ J/86% CIFAR-10 Mixed-Signal Binary CNN Processor With All Memory on Chip in 28-nm CMOS.
IEEE J. Solid State Circuits, 2019

Global Asymptotic Stability and Stabilization of Long Short-Term Memory Neural Networks with Constant Weights and Biases.
J. Optim. Theory Appl., 2019

Custom Sub-Systems and Circuits for Deep Learning: Guest Editorial Overview.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2019

A Data-Compressive 1.5b/2.75b Log-Gradient QVGA Image Sensor with Multi-Scale Readout for Always-On Object Detection.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

Sound Classification using Summary Statistics and N-Path Filtering.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Long-Short Term Memory Neural Network Stability and Stabilization using Linear Matrix Inequalities.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Memory-Optimal Direct Convolutions for Maximizing Classification Accuracy in Embedded Applications.
Proceedings of the 36th International Conference on Machine Learning, 2019

An Energy Harvester Using Image Sensor Pixels With Cold Start and Over 96% MPPT Efficiency.
Proceedings of the 45th IEEE European Solid State Circuits Conference, 2019

RRAM-Based In-Memory Computing for Embedded Deep Neural Networks.
Proceedings of the 53rd Asilomar Conference on Signals, Systems, and Computers, 2019

2018
Toward Always-On Mobile Object Detection: Energy Versus Performance Tradeoffs for Embedded HOG Feature Extraction.
IEEE Trans. Circuits Syst. Video Technol., 2018

An Inverter-Based Analog Front End for a 56 GB/S PAM4 Wireline Transceiver in 16NMCMOS.
Proceedings of the 2018 IEEE Symposium on VLSI Circuits, 2018

An always-on 3.8μJ/86% CIFAR-10 mixed-signal binary CNN processor with all memory on chip in 28nm CMOS.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

Bit Error Tolerance of a CIFAR-10 Binarized Convolutional Neural Network Processor.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Some Local Stability Properties of an Autonomous Long Short-Term Memory Neural Network Model.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A New Figure of Merit Equation for Analog-to-Digital Converters in CMOS Image Sensors.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Clock Synchronous Reset and Skew Calibration of 65GS/s ADCs in A Multi-Lane Coherent Receiver.
Proceedings of the 44th IEEE European Solid State Circuits Conference, 2018

TRIG: hardware accelerator for inference-based applications and experimental demonstration using carbon nanotube FETs.
Proceedings of the 55th Annual Design Automation Conference, 2018

A 56 Gb/s 6 mW 300 um<sup>2</sup> inverter-based CTLE for short-reach PAM2 applications in 16 nm CMOS.
Proceedings of the 2018 IEEE Custom Integrated Circuits Conference, 2018

BinarEye: An always-on energy-accuracy-scalable binary CNN processor with all memory on chip in 28nm CMOS.
Proceedings of the 2018 IEEE Custom Integrated Circuits Conference, 2018

A 7b 2 GS/s Time-Interleaved SAR ADC with Time Skew Calibration Based on Current Integrating Sampler.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2018

2017
A 14-Bit 30-MS/s 38-mW SAR ADC Using Noise Filter Gear Shifting.
IEEE Trans. Circuits Syst. II Express Briefs, 2017

Metastablility in SAR ADCs.
IEEE Trans. Circuits Syst. II Express Briefs, 2017

A Pixel Pitch-Matched Ultrasound Receiver for 3-D Photoacoustic Imaging With Integrated Delta-Sigma Beamformer in 28-nm UTBB FD-SOI.
IEEE J. Solid State Circuits, 2017

A Mixer Front End for a Four-Channel Modulated Wideband Converter With 62-dB Blocker Rejection.
IEEE J. Solid State Circuits, 2017

Approximate SRAM for Energy-Efficient, Privacy-Preserving Convolutional Neural Networks.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

Foreword: Intelligent Chips for a Smart World.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

27.5 A pixel-pitch-matched ultrasound receiver for 3D photoacoustic imaging with integrated delta-sigma beamformer in 28nm UTBB FDSOI.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

Session 1 overview: Plenary Session.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

SRAM voltage scaling for energy-efficient convolutional neural networks.
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017

LogNet: Energy-efficient neural networks using logarithmic computation.
Proceedings of the 2017 IEEE International Conference on Acoustics, 2017

2016
Convolutional Neural Networks using Logarithmic Data Representation.
CoRR, 2016

The successive approximation register ADC: a versatile building block for ultra-low- power to ultra-high-speed applications.
IEEE Commun. Mag., 2016

A 0.003 mm<sup>2</sup> 5.2 mW/tap 20 GBd inductor-less 5-tap analog RX-FFE.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

Data converter reflections: 19 papers from the last ten years that deserve a second look.
Proceedings of the ESSCIRC Conference 2016: 42<sup>nd</sup> European Solid-State Circuits Conference, 2016

An 8-bit 1.25GS/s CMOS IF-sampling ADC with background calibration for dynamic distortion.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2016

An 8-bit, 16 input, 3.2 pJ/op switched-capacitor dot product circuit in 28-nm FDSOI CMOS.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2016

2015
A Closed-Loop Reconfigurable Switched-Capacitor DC-DC Converter for Sub-mW Energy Harvesting Applications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

A 14 b 35 MS/s SAR ADC Achieving 75 dB SNDR and 99 dB SFDR With Loop-Embedded Input Buffer in 40 nm CMOS.
IEEE J. Solid State Circuits, 2015

15.7 14b 35MS/S SAR ADC achieving 75dB SNDR and 99dB SFDR with loop-embedded input buffer in 40nm CMOS.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

Calculation of MOSFET distortion using the transconductance-to-current ratio (gm/ID).
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Mixer-based subarray beamforming for sub-Nyquist sampling ultrasound architectures.
Proceedings of the 2015 IEEE International Conference on Acoustics, 2015

A 0.6 V-2.4 V input, fully integrated reconfigurable switched-capacitor DC-DC converter for energy harvesting sensor tags.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2015

Mixed-signal circuits for embedded machine-learning applications.
Proceedings of the 49th Asilomar Conference on Signals, Systems and Computers, 2015

2014
Static Integral Nonlinearity Modeling and Calibration of Measured and Synthetic Pipeline Analog-to-Digital Converters.
IEEE Trans. Instrum. Meas., 2014

Mismatch Characterization of Small Metal Fringe Capacitors.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

A Four-Channel, ±36 V, 780 kHz Piezo Driver Chip for Structural Health Monitoring.
IEEE J. Solid State Circuits, 2014

Teaching an old dog new tricks: Views on the future of mixed-signal IC design.
Proceedings of the 2014 International Test Conference, 2014

Design and optimization of continuous-time filters using geometric programming.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

Low-rate identification of memory polynomials.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

Low-voltage organic transistors for flexible electronics.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

A 160 MS/s, 11.1 mW, single-channel pipelined SAR ADC with 68.3 dB SNDR.
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, 2014

2013
Dynamic Calibration of Undersampled Pipelined ADCs by Frequency Domain Filtering.
IEEE Trans. Instrum. Meas., 2013

Settling Time and Noise Optimization of a Three-Stage Operational Transconductance Amplifier.
IEEE Trans. Circuits Syst. I Regul. Pap., 2013

A ΔΣ Interface for MEMS Accelerometers Using Electrostatic Spring Constant Modulation for Cancellation of Bondwire Capacitance Drift.
IEEE J. Solid State Circuits, 2013

A 256 Pixel Magnetoresistive Biosensor Microarray in 0.18 µm CMOS.
IEEE J. Solid State Circuits, 2013

F6: Mixed-signal/RF design and modeling in next-generation CMOS.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

Session 26 overview: High-speed data converters.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

An 8-bit 450-MS/s single-bit/cycle SAR ADC in 65-nm CMOS.
Proceedings of the ESSCIRC 2013, 2013

Digitally assisted data converter design.
Proceedings of the ESSCIRC 2013, 2013

A/D converter circuit and architecture design for high-speed data communication.
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, 2013

2012
A 12-b, 30-MS/s, 2.95-mW Pipelined ADC Using Single-Stage Class-AB Amplifiers and Deterministic Background Calibration.
IEEE J. Solid State Circuits, 2012

HermesE: A 96-Channel Full Data Rate Direct Neural Interface in 0.13 µm CMOS.
IEEE J. Solid State Circuits, 2012

Low-power analog signal processing.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

Towards an integrated circuit design of a compressed sampling wireless receiver.
Proceedings of the 2012 IEEE International Conference on Acoustics, 2012

A -131-dBc/Hz, 20-MHz MEMS oscillator with a 6.9-mW, 69-kΩ, gain-tunable CMOS TIA.
Proceedings of the 38th European Solid-State Circuit conference, 2012

2011
Feedforward Interference Cancellation Architecture for Short-Range Wireless Communication.
IEEE Trans. Circuits Syst. II Express Briefs, 2011

A 12-GS/s 81-mW 5-bit Time-Interleaved Flash ADC With Background Timing Skew Calibration.
IEEE J. Solid State Circuits, 2011

Design Optimization of High-Speed and Low-Power Operational Transconductance Amplifier Using <i>g<sub>m</sub></i>/<i>I<sub>D</sub></i> Lookup Table Methodology.
IEICE Trans. Electron., 2011

Data converter breakthroughs in retrospect.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

A 6.7-ENOB, 500-MS/s, 5.1-mW dynamic pipeline ADC in 65-nm SOI CMOS.
Proceedings of the 37th European Solid-State Circuits Conference, 2011

2010
The Design of Fast-Settling Three-Stage Amplifiers Using the Open-Loop Damping Factor as a Design Parameter.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

A 3-V, 6-Bit C-2C Digital-to-Analog Converter Using Complementary Organic Thin-Film Transistors on Glass.
IEEE J. Solid State Circuits, 2010

Trends in Low-Power, Digitally Assisted A/D Conversion.
IEICE Trans. Electron., 2010

A 3V 6b successive-approximation ADC using complementary organic thin-film transistors on glass.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

Portable biomarker detection with magnetic nanotags.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Design of analog circuits using organic field-effect transistors.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

A 12-bit, 30-MS/s, 2.95-mW pipelined ADC using single-stage class-AB amplifiers and deterministic background calibration.
Proceedings of the 36th European Solid-State Circuits Conference, 2010

2009
Power Dissipation Bounds for High-Speed Nyquist Analog-to-Digital Converters.
IEEE Trans. Circuits Syst. I Regul. Pap., 2009

General Analysis on the Impact of Phase-Skew in Time-Interleaved ADCs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2009

Digital Compensation of Dynamic Acquisition Errors at the Front-End of High-Performance A/D Converters.
IEEE J. Sel. Top. Signal Process., 2009

A 9.4-bit, 50-MS/s, 1.44-mW Pipelined ADC Using Dynamic Source Follower Residue Amplification.
IEEE J. Solid State Circuits, 2009

A 56MΩ CMOS TIA for MEMS applications.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2009

2008
Calculation of Total Integrated Noise in Analog Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., 2008

Unusual Data-Converter Techniques.
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

Characterizing the Impact of Substrate Noise on High-Speed Flash ADCs.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

Hybrid Integration of Bandgap Reference Circuits Using Silicon ICs and Germanium Devices.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

Digitally enhanced analog circuits: System aspects.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Predictive control algorithm for phase-locked loops.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Digital correction of dynamic track-and-hold errors providing SFDR ≫ 83 dB up to fin = 470 MHz.
Proceedings of the IEEE 2008 Custom Integrated Circuits Conference, 2008

A/D converter trends: Power dissipation, scaling and digitally assisted architectures.
Proceedings of the IEEE 2008 Custom Integrated Circuits Conference, 2008

2007
Digital Domain Measurement and Cancellation of Residue Amplifier Nonlinearity in Pipelined ADCs.
IEEE Trans. Instrum. Meas., 2007

A 12-Bit 75-MS/s Pipelined ADC Using Incomplete Settling.
IEEE J. Solid State Circuits, 2007

A High-Density Magnetoresistive Biosensor Array with Drift-Compensation Mechanism.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

A Low-Power, 6-bit Time-Interleaved SAR ADC Using OFDM Pilot Tone Calibration.
Proceedings of the IEEE 2007 Custom Integrated Circuits Conference, 2007

2006
System embedded ADC calibration for OFDM receivers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2006

An Analysis of Latch Comparator Offset Due to Load Capacitor Mismatch.
IEEE Trans. Circuits Syst. II Express Briefs, 2006

Digitally Assisted Analog Circuits.
IEEE Micro, 2006

Analysis and Measurement of Signal Distortion due to ESD Protection Circuits.
IEEE J. Solid State Circuits, 2006

4.25 Gb/s laser driver: design challenges and EDA tool limitations.
Proceedings of the 43rd Design Automation Conference, 2006

2005
A background correction technique for timing errors in time-interleaved analog-to-digital converters.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

A low-power distributed wide-band LNA in 0.18µm CMOS.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

2004
Digitally Assisted Analog Integrated Circuits.
ACM Queue, 2004

2003
A 12-bit 75-MS/s pipelined ADC using open-loop residue amplification.
IEEE J. Solid State Circuits, 2003


  Loading...