Zhiyoong Foo

According to our database1, Zhiyoong Foo authored at least 32 papers between 2008 and 2017.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2017
Circuit and System Designs of Ultra-Low Power Sensor Nodes With Illustration in a Miniaturized GNSS Logger for Position Tracking: Part II - Data Communication, Energy Harvesting, Power Management, and Digital Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

Circuit and System Designs of Ultra-Low Power Sensor Nodes With Illustration in a Miniaturized GNSS Logger for Position Tracking: Part I - Analog Circuit Techniques.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

7.4 A 915MHz asymmetric radio using Q-enhanced amplifier for a fully integrated 3×3×3mm<sup>3</sup> wireless sensor node with 20m non-line-of-sight communication.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

2016
MBus: A System Integration Bus for the Modular Microscale Computing Class.
IEEE Micro, 2016

A 10 mm<sup>3</sup> Inductive Coupling Radio for Syringe-Implantable Smart Sensor Nodes.
IEEE J. Solid State Circuits, 2016

26.7 A 10mm3 syringe-implantable near-field radio system on glass substrate.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

8.5 A 60%-efficiency 20nW-500µW tri-output fully integrated power management unit with environmental adaptation and load-proportional biasing for IoT systems.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

2015
A Dual-Slope Capacitance-to-Digital Converter Integrated in an Implantable Pressure-Sensing System.
IEEE J. Solid State Circuits, 2015

An Injectable 64 nW ECG Mixed-Signal SoC in 65 nm for Arrhythmia Monitoring.
IEEE J. Solid State Circuits, 2015

A 10.6mm<sup>3</sup> fully-integrated, wireless sensor node with 8GHz UWB transmitter.
Proceedings of the Symposium on VLSI Circuits, 2015

MBus: an ultra-low power interconnect bus for next generation nanopower systems.
Proceedings of the 42nd Annual International Symposium on Computer Architecture, 2015

An ultra-low-power biomedical chip for injectable pressure monitor.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2015

2014
An Ultra-Low Power Fully Integrated Energy Harvester Based on Self-Oscillating Switched-Capacitor Voltage Doubler.
IEEE J. Solid State Circuits, 2014

A Fully-Integrated 71 nW CMOS Temperature Sensor for Low Power Wireless Sensor Nodes.
IEEE J. Solid State Circuits, 2014

A millimeter-scale wireless imaging system with continuous motion detection and energy harvesting.
Proceedings of the Symposium on VLSI Circuits, 2014

24.3 An implantable 64nW ECG-monitoring mixed-signal SoC for arrhythmia diagnosis.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

Dual-slope capacitance to digital converter integrated in an implantable pressure sensing system.
Proceedings of the ESSCIRC 2014, 2014

Circuit techniques for miniaturized biomedical sensors.
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, 2014

MBus: A 17.5 pJ/bit/chip portable interconnect bus for millimeter-scale sensor systems with 8 nW standby power.
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, 2014

2013
A Low-Cost Audio Computer for Information Dissemination Among Illiterate People Groups.
IEEE Trans. Circuits Syst. I Regul. Pap., 2013

A Sub-nW Multi-stage Temperature Compensated Timer for Ultra-Low-Power Sensor Nodes.
IEEE J. Solid State Circuits, 2013

A Millimeter-Scale Energy-Autonomous Sensor System With Stacked Battery and Solar Cells.
IEEE J. Solid State Circuits, 2013

M3: a mm-scale wireless energy harvesting sensor platform.
Proceedings of the 1st International Workshop on Energy Neutral Sensing Systems, 2013

A 467nW CMOS visual motion sensor with temporal averaging and pixel aggregation.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

2011
A 660pW multi-stage temperature-compensated timer for ultra-low-power wireless sensor node synchronization.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

2010
A 0.5 V Sub-Microwatt CMOS Image Sensor With Pulse-Width Modulation Read-Out.
IEEE J. Solid State Circuits, 2010

Early detection of oxide breakdown through in situ degradation sensing.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

In situ delay-slack monitor for high-performance processors using an all-digital self-calibrating 5ps resolution time-to-digital converter.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

Millimeter-scale nearly perpetual sensor system with stacked battery and solar cells.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

A case for custom silicon in enabling low-cost information technology for developing regions.
Proceedings of the First ACM Annual Symposium on Computing for Development, 2010

2009
A Low-Voltage Processor for Sensing Applications With Picowatt Standby Mode.
IEEE J. Solid State Circuits, 2009

2008
Timing yield enhancement through soft edge flip-flop based design.
Proceedings of the IEEE 2008 Custom Integrated Circuits Conference, 2008


  Loading...