Yoonmyung Lee

Orcid: 0000-0001-9468-1692

According to our database1, Yoonmyung Lee authored at least 78 papers between 2008 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
An RC Delay-Based Pressure-Sensing System With Energy-Efficient Bit-Level Oversampling Techniques for Implantable IOP Monitoring Systems.
IEEE J. Solid State Circuits, October, 2023

A Differential Flip-Flop With Static Contention-Free Characteristics in 28 nm for Low-Voltage, Low-Power Applications.
IEEE J. Solid State Circuits, May, 2023

A 23.9 µW 13.6-bit Period Modulation-Based Capacitance-to-Digital Converter with Dynamic Current Mirror Front-end Achieving Capacitor Range of 1 to 68 pF.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2023

2022
A Continuously-Scalable-Conversion-Ratio Step-Up/Down SC Energy-Harvesting Interface With MPPT Enabled by Real-Time Power Monitoring With Frequency-Mapped Capacitor DAC.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Variation-Tolerant and Low R-Ratio Compute-in-Memory ReRAM Macro With Capacitive Ternary MAC Operation.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

A 20F<sup>2</sup>/Bit Current-Integration-Based Differential nand-Structured PUF for Stable and V/T Variation-Tolerant Low-Cost IoT Security.
IEEE J. Solid State Circuits, 2022

Logic-embedded Physically Unclonable Functions for Synthesizable and Periphery-free Implementation for Low Area and Design Cost IoT Security.
Proceedings of the 48th IEEE European Solid State Circuits Conference, 2022

2021
A Harvesting Circuit for Flexible Thin-Film Piezoelectric Generator Achieving 562% Energy Extraction Improvement With Load Screening.
IEEE Trans. Ind. Electron., 2021

A Charge-Domain Scalable-Weight In-Memory Computing Macro With Dual-SRAM Architecture for Precision-Scalable DNN Accelerators.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Dual Piezoelectric Energy Investing and Harvesting Interface for High-Voltage Input.
Sensors, 2021

An Ultra-Low-Power Fully-Static Contention-Free Flip-Flop With Complete Redundant Clock Transition and Transistor Elimination.
IEEE J. Solid State Circuits, 2021

A 354F<sup>2</sup> Leakage-Based Physically Unclonable Function With Lossless Stabilization Through Remapping for Low-Cost IoT Security.
IEEE J. Solid State Circuits, 2021

A Charge-Domain Computation-In-Memory Macro with Versatile All-Around-Wire-Capacitor for Variable-Precision Computation and Array-Embedded DA/AD Conversions.
Proceedings of the 51st IEEE European Solid-State Device Research Conference, 2021

A current-integrated differential NAND-structured PUF for stable and V/T variation-tolerant low-cost IoT security.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2021

2020
An Asynchronous Boost Converter With Time-Based Dual-Mode Control for Wide Load Range and High Efficiency in SSD Applications.
IEEE Trans. Ind. Electron., 2020

A Soft-Charging-Based SC DC-DC Boost Converter With Conversion-Ratio-Insensitive High Efficiency for Energy Harvesting in Miniature Sensor Systems.
IEEE Trans. Circuits Syst., 2020

A Fully Static True-Single-Phase-Clocked Dual-Edge-Triggered Flip-Flop for Near-Threshold Voltage Operation in IoT Applications.
IEEE Access, 2020

A Static Contention-Free Differential Flip-Flop in 28nm for Low-Voltage, Low-Power Applications.
Proceedings of the 2020 IEEE Custom Integrated Circuits Conference, 2020

2019
Editorial TVLSI Positioning - Continuing and Accelerating an Upward Trajectory.
IEEE Trans. Very Large Scale Integr. Syst., 2019

A High-Voltage Energy-Harvesting Interface for Irregular Kinetic Energy Harvesting in IoT Systems with 1365% Improvement Using All-NMOS Power Switches and Ultra-low Quiescent Current Controller.
Sensors, 2019

A PVT variation-tolerant static single-phase clocked dual-edge triggered flip-flop for aggressive voltage scaling.
IEICE Electron. Express, 2019

A 20F<sup>2</sup> Area-Efficient Differential nand-Structured Physically Unclonable Function for Low-Cost IoT Security.
Proceedings of the 45th IEEE European Solid State Circuits Conference, 2019

A 17V-to-45V Input 25 μW-to-10mW Output Power, 90.2%-Peak-Efficiency SC DC-DC Converter with Recursive Output Connection for High-Voltage Energy Harvesting.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2019

A Conversion-Ratio-Insensitive High Efficiency Soft-Charging-Based SC DC-DC Boost Converter for Energy Harvesting in Miniature Sensor Systems.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2019

A Piezoelectric Energy Harvesting Interface for Irregular High Voltage Input with Partial Electric Charge Extraction with 3.9× Extraction Improvement.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2019

2018
A 1.08-nW/kHz 13.2-ppm/°C Self-Biased Timer Using Temperature-Insensitive Resistive Current.
IEEE J. Solid State Circuits, 2018

A 21-Gb/s Dual-Channel Voltage-Mode Transmitter With Stacked NRZ and PAM4 Drivers.
IEEE Access, 2018

A 445F<sup>2</sup> leakage-based physically unclonable Function with Lossless Stabilization Through Remapping for IoT Security.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

A Sub-nW Fully Integrated Switched-Capacitor Energy Harvester for Implantable Applications.
Proceedings of the 44th IEEE European Solid State Circuits Conference, 2018

2017
A 20-pW Discontinuous Switched-Capacitor Energy Harvester for Smart Sensor Applications.
IEEE J. Solid State Circuits, 2017

A wide load and voltage range switched-capacitor DC-DC converter with load-dependent configurability for DVS implementation in miniature sensors.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2017

2016
Power-Performance Tradeoff Analysis of CML-Based High-Speed Transmitter Designs Using Circuit-Level Optimization.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

Ultralow Power Circuit Design for Wireless Sensor Nodes for Structural Health Monitoring.
Proc. IEEE, 2016

MBus: A System Integration Bus for the Modular Microscale Computing Class.
IEEE Micro, 2016

Battery Voltage Supervisors for Miniature IoT Systems.
IEEE J. Solid State Circuits, 2016

A high efficiency wide-load-range asynchronous boost converter with time-based dual-mode control for SSD applications.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2016

2015
System-On-Mud: Ultra-Low Power Oceanic Sensing Platform Powered by Small-Scale Benthic Microbial Fuel Cells.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

A Dual-Slope Capacitance-to-Digital Converter Integrated in an Implantable Pressure-Sensing System.
IEEE J. Solid State Circuits, 2015

An Injectable 64 nW ECG Mixed-Signal SoC in 65 nm for Arrhythmia Monitoring.
IEEE J. Solid State Circuits, 2015

A 10.6mm<sup>3</sup> fully-integrated, wireless sensor node with 8GHz UWB transmitter.
Proceedings of the Symposium on VLSI Circuits, 2015

MBus: an ultra-low power interconnect bus for next generation nanopower systems.
Proceedings of the 42nd Annual International Symposium on Computer Architecture, 2015

2014
An Ultra-Low Power Fully Integrated Energy Harvester Based on Self-Oscillating Switched-Capacitor Voltage Doubler.
IEEE J. Solid State Circuits, 2014

A Fully-Integrated 71 nW CMOS Temperature Sensor for Low Power Wireless Sensor Nodes.
IEEE J. Solid State Circuits, 2014

Low power battery supervisory circuit with adaptive battery health monitor.
Proceedings of the Symposium on VLSI Circuits, 2014

A millimeter-scale wireless imaging system with continuous motion detection and energy harvesting.
Proceedings of the Symposium on VLSI Circuits, 2014

16.3 A 23Mb/s 23pJ/b fully synthesized true-random-number generator in 28nm and 65nm CMOS.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

23.3 A 3nW fully integrated energy harvester based on self-oscillating switched-capacitor DC-DC converter.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

24.3 An implantable 64nW ECG-monitoring mixed-signal SoC for arrhythmia diagnosis.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

Chip-on-mud: Ultra-low power ARM-based oceanic sensing system powered by small-scale benthic microbial fuel cells.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

Dual-slope capacitance to digital converter integrated in an implantable pressure sensing system.
Proceedings of the ESSCIRC 2014, 2014

Circuit techniques for miniaturized biomedical sensors.
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, 2014

MBus: A 17.5 pJ/bit/chip portable interconnect bus for millimeter-scale sensor systems with 8 nW standby power.
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, 2014

2013
Low-Power Circuit Analysis and Design Based on Heterojunction Tunneling Transistors (HETTs).
IEEE Trans. Very Large Scale Integr. Syst., 2013

Achieving Ultralow Standby Power With an Efficient SCCMOS Bias Generator.
IEEE Trans. Circuits Syst. II Express Briefs, 2013

Circuits for a Cubic-Millimeter Energy-Autonomous Wireless Intraocular Pressure Monitor.
IEEE Trans. Circuits Syst. I Regul. Pap., 2013

Centip3De: A 64-Core, 3D Stacked Near-Threshold System.
IEEE Micro, 2013

A Sub-nW Multi-stage Temperature Compensated Timer for Ultra-Low-Power Sensor Nodes.
IEEE J. Solid State Circuits, 2013

A Modular 1 mm<sup>3</sup> Die-Stacked Sensing Platform With Low Power I<sup>2</sup>C Inter-Die Communication and Multi-Modal Energy Harvesting.
IEEE J. Solid State Circuits, 2013

An Ultra-Low-Power 9.8 GHz Crystal-Less UWB Transceiver With Digital Baseband Integrated in 0.18 µm BiCMOS.
IEEE J. Solid State Circuits, 2013

Centip3De: A Cluster-Based NTC Architecture With 64 ARM Cortex-M3 Cores in 3D Stacked 130 nm CMOS.
IEEE J. Solid State Circuits, 2013

Circuit and System Design Guidelines for Ultra-low Power Sensor Nodes.
IPSJ Trans. Syst. LSI Des. Methodol., 2013

Centip3De: a many-core prototype exploring 3D integration and near-threshold computing.
Commun. ACM, 2013

M3: a mm-scale wireless energy harvesting sensor platform.
Proceedings of the 1st International Workshop on Energy Neutral Sensing Systems, 2013

An ultra-low-power 9.8GHz crystal-less UWB transceiver with digital baseband integrated in 0.18µm BiCMOS.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

A fully integrated switched-capacitor based PMU with adaptive energy harvesting technique for ultra-low power sensing applications.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

45pW ESD clamp circuit for ultra-low power applications.
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, 2013

2012
A 635pW battery voltage supervisory circuit for miniature sensor nodes.
Proceedings of the Symposium on VLSI Circuits, 2012

A modular 1mm<sup>3</sup> die-stacked sensing platform with optical communication and multi-modal energy harvesting.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

Centip3De: A 3930DMIPS/W configurable near-threshold 3D stacked system with 64 ARM Cortex-M3 cores.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

Ultra-constrained sensor platform interfacing.
Proceedings of the 11th International Conference on Information Processing in Sensor Networks (co-located with CPS Week 2012), 2012

SLC: Split-control Level Converter for dense and stable wide-range voltage conversion.
Proceedings of the 38th European Solid-State Circuit conference, 2012

A 695 pW standby power optical wake-up receiver for wireless sensor nodes.
Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, 2012

Circuits for ultra-low power millimeter-scale sensor nodes.
Proceedings of the Conference Record of the Forty Sixth Asilomar Conference on Signals, 2012

2011
A 660pW multi-stage temperature-compensated timer for ultra-low-power wireless sensor node synchronization.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

2010
Ultra-low power circuit techniques for a new class of sub-mm<sup>3</sup> sensor nodes.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2010

2009
A Low-Voltage Processor for Sensing Applications With Picowatt Standby Mode.
IEEE J. Solid State Circuits, 2009

Low power circuit design based on heterojunction tunneling transistors (HETTs).
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009

2008
Standby power reduction techniques for ultra-low power processors.
Proceedings of the ESSCIRC 2008, 2008


  Loading...