Houman Zahedmanesh

Orcid: 0000-0002-0290-691X

According to our database1, Houman Zahedmanesh authored at least 14 papers between 2009 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Challenges for Interconnect Reliability: From Element to System Level.
Proceedings of the 2023 International Symposium on Physical Design, 2023

A pragmatic network-aware paradigm for system-level electromigration predictions at scale.
Proceedings of the IEEE International Reliability Physics Symposium, 2023

Impact of via geometry and line extension on via-electromigration in nano-interconnects.
Proceedings of the IEEE International Reliability Physics Symposium, 2023

Thermomigration-induced void formation in Cu-interconnects - Assessment of main physical parameters.
Proceedings of the IEEE International Reliability Physics Symposium, 2023

2022
Time-Dependent Electromigration Modeling for Workload-Aware Design-Space Exploration in STT-MRAM.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

System-Level Simulation of Electromigration in a 3 nm CMOS Power Delivery Network: The Effect of Grid Redundancy, Metallization Stack and Standard-Cell Currents.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

Assessment of critical Co electromigration parameters.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

2021
A Novel System-Level Physics-Based Electromigration Modelling Framework: Application to the Power Delivery Network.
Proceedings of the ACM/IEEE International Workshop on System Level Interconnect Prediction, 2021

Electromigration limits of copper nano-interconnects.
Proceedings of the IEEE International Reliability Physics Symposium, 2021

2020
Physics based modeling of bimodal electromigration failure distributions and variation analysis for VLSI interconnects.
Proceedings of the 2020 IEEE International Reliability Physics Symposium, 2020

2019
Variation-Aware Physics-Based Electromigration Modeling and Experimental Calibration for VLSI Interconnects.
Proceedings of the IEEE International Reliability Physics Symposium, 2019

2018
Stress mitigation of 3D-stacking/packaging induced stresses.
Proceedings of the IEEE International Reliability Physics Symposium, 2018

2016
Design considerations for the mechanical integrity of airgaps in nano-interconnects under chip-package interaction; a numerical investigation.
Microelectron. Reliab., 2016

2009
Determination of the influence of stent strut thickness using the finite element method: implications for vascular injury and in-stent restenosis.
Medical Biol. Eng. Comput., 2009


  Loading...