Philippe Roussel

Orcid: 0000-0002-0402-8225

According to our database1, Philippe Roussel authored at least 50 papers between 1984 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
A pragmatic network-aware paradigm for system-level electromigration predictions at scale.
Proceedings of the IEEE International Reliability Physics Symposium, 2023

Analysis of TDDB lifetime projection in low thermal budget HfO2/SiO2 stacks for sequential 3D integrations.
Proceedings of the IEEE International Reliability Physics Symposium, 2023

2022
Insight to Data Retention loss in ferroelectric Hf0.5Zr0.5O2 pFET and nFET from simultaneous PV and IV measurements.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

Degradation mechanisms and lifetime assessment of Ge Vertical PIN photodetectors.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2022

Combining SILC and BD statistics for low-voltage lifetime projection in HK/MG stacks.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

Degradation mechanisms in Germanium Electro-Absorption Modulators.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

Trap-polarization interaction during low-field trap characterization on hafnia-based ferroelectric gatestacks.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

Assessment of critical Co electromigration parameters.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

2021
Physics-based device aging modelling framework for accurate circuit reliability assessment.
Proceedings of the IEEE International Reliability Physics Symposium, 2021

2020
Dielectric Reliability Study of 21 nm Pitch Interconnects with Barrierless Ru Fill.
Proceedings of the 2020 IEEE International Reliability Physics Symposium, 2020

2019
Understanding the Impact of Time-Dependent Random Variability on Analog ICs: From Single Transistor Measurements to Circuit Simulations.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Accelerated Capture and Emission (ACE) Measurement Pattern for Efficient BTI Characterization and Modeling.
Proceedings of the IEEE International Reliability Physics Symposium, 2019

Modeling the Effect of Random Dopants on Hot-Carrier Degradation in FinFETs.
Proceedings of the IEEE International Reliability Physics Symposium, 2019

Concise Analytical Expression for Wunsch-Bell 1-D Pulsed Heating and Applications in ESD Using TLP.
Proceedings of the IEEE International Reliability Physics Symposium, 2019

Stochastic Modeling of Hot-Carrier Degradation in nFinFETs Considering the Impact of Random Traps and Random Dopants.
Proceedings of the 49th European Solid-State Device Research Conference, 2019

2018
Comphy - A compact-physics framework for unified modeling of BTI.
Microelectron. Reliab., 2018

A brief overview of gate oxide defect properties and their relation to MOSFET instabilities and device and circuit time-dependent variability.
Microelectron. Reliab., 2018

New methodology for modelling MOL TDDB coping with variability.
Proceedings of the IEEE International Reliability Physics Symposium, 2018

Extended RVS characterisation of STT-MRAM devices: Enabling detection of AP/P switching and breakdown.
Proceedings of the IEEE International Reliability Physics Symposium, 2018

Method to assess the impact of LER and spacing variation on BEOL dielectric reliability using 2D-field simulations for <20nm spacing.
Proceedings of the IEEE International Reliability Physics Symposium, 2018

Analytic variability study of inference accuracy in RRAM arrays with a binary tree winner-take-all circuit for neuromorphic applications.
Proceedings of the 48th European Solid-State Device Research Conference, 2018

Study of breakdown in STT-MRAM using ramped voltage stress and all-in-one maximum likelihood fit.
Proceedings of the 48th European Solid-State Device Research Conference, 2018

2017
LER and spacing variability on BEOL TDDB using E-field mapping: Impact of field acceleration.
Microelectron. Reliab., 2017

2016
Approximating Standard Cell Delay Distributions by Reformulating the Most Probable Failure Point.
Proceedings of the Workshop on Early Reliability Modeling for Aging and Variability in Silicon Systems, 2016

2015
Characterization of time-dependent variability using 32k transistor arrays in an advanced HK/MG technology.
Proceedings of the IEEE International Reliability Physics Symposium, 2015

The relationship between border traps characterized by AC admittance and BTI in III-V MOS devices.
Proceedings of the IEEE International Reliability Physics Symposium, 2015

Origins and implications of increased channel hot carrier variability in nFinFETs.
Proceedings of the IEEE International Reliability Physics Symposium, 2015

NBTI in Si0.55Ge0.45 cladding p-FinFETs: Porting the superior reliability from planar to 3D architectures.
Proceedings of the IEEE International Reliability Physics Symposium, 2015

Time dependent variability in RMG-HKMG FinFETs: Impact of extraction scheme on stochastic NBTI.
Proceedings of the IEEE International Reliability Physics Symposium, 2015

Four point probe ramped voltage stress as an efficient method to understand breakdown of STT-MRAM MgO tunnel junctions.
Proceedings of the IEEE International Reliability Physics Symposium, 2015

Impact of time-dependent variability on the yield and performance of 6T SRAM cells in an advanced HK/MG technology.
Proceedings of the 2015 International Conference on IC Design & Technology, 2015

The defect-centric perspective of device and circuit reliability - From individual defects to circuits.
Proceedings of the 45th European Solid State Device Research Conference, 2015

Characterization and simulation methodology for time-dependent variability in advanced technologies.
Proceedings of the 2015 IEEE Custom Integrated Circuits Conference, 2015

2014
Circuit and process co-design with vertical gate-all-around nanowire FET technology to extend CMOS scaling for 5nm and beyond technologies.
Proceedings of the 44th European Solid State Device Research Conference, 2014

2012
Defect-centric perspective of time-dependent BTI variability.
Microelectron. Reliab., 2012

2011
Fast and accurate statistical characterization of standard cell libraries.
Microelectron. Reliab., 2011

Variability aware modeling for yield enhancement of SRAM and logic.
Proceedings of the Design, Automation and Test in Europe, 2011

Statistical characterization of standard cells using design of experiments with response surface modeling.
Proceedings of the 48th Design Automation Conference, 2011

2010
On the cost-effectiveness of matching repositories of pre-tested wafers for wafer-to-wafer 3D chip stacking.
Proceedings of the 15th European Test Symposium, 2010

2009
Qualitative modelling of a multi-step process: The case of French breadmaking.
Expert Syst. Appl., 2009

Exponent Monte Carlo for Quick Statistical Circuit Simulation.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2009

Variability aware modeling of SoCs: From device variations to manufactured system yield.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

2007
Transient voltage overshoot in TLP testing - Real or artifact?
Microelectron. Reliab., 2007

Gate oxide breakdown in FET devices and circuits: From nanoscale physics to system-level reliability.
Microelectron. Reliab., 2007

Distribution and generation of traps in SiO<sub>2</sub>/Al<sub>2</sub>O<sub>3</sub> gate stacks.
Microelectron. Reliab., 2007

2006
Estimation des titres viraux : une programmation pratique et fiable sur calculatrice de poche, et accessible par l'Internet.
Monde des Util. Anal. Données, 2006

Yield prediction for architecture exploration in nanometer technology nodes: : a model and case study for memory organizations.
Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, 2006

2005
Layout dependency induced deviation from Poisson area scaling in BEOL dielectric reliability.
Microelectron. Reliab., 2005

1993
The Birth of Prolog.
Proceedings of the History of Programming Languages Conference (HOPL-II), 1993

1984
Editing First-Order Proofs: Programmed Rules vs Derived Rules.
Proceedings of the 1984 International Symposium on Logic Programming, 1984


  Loading...