Jinhui Wang

Orcid: 0000-0003-4731-8481

According to our database1, Jinhui Wang authored at least 87 papers between 2008 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Single-subject cortical morphological brain networks: Phenotypic associations and neurobiological substrates.
NeuroImage, December, 2023

Multimodal and multiscale evidence for network-based cortical thinning in major depressive disorder.
NeuroImage, August, 2023

PAWN: Programmed Analog Weights for Non-Linearity Optimization in Memristor-Based Neuromorphic Computing System.
IEEE J. Emerg. Sel. Topics Circuits Syst., March, 2023

Three-dimensional Environmentally Sustainable Neuromorphic Computing System Based on Natural Organic Memristor.
Proceedings of the 66th IEEE International Midwest Symposium on Circuits and Systems, 2023

Reconfigurable Mapping Algorithm based Stuck-At-Fault Mitigation in Neuromorphic Computing Systems.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023

2022
Separate neural subsystems support goal-directed speech listening.
NeuroImage, 2022

Deep Anomaly Detection Based on Variational Deviation Network.
Future Internet, 2022

Stuck-at-Fault Immunity Enhancement of Memristor-Based Edge AI Systems.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2022

Aberrant Development of Cross-Frequency Multiplex Functional Connectome in First-Episode, Drug-Naive Major Depressive Disorder and Schizophrenia.
Brain Connect., 2022

Reliability Improvement in RRAM-based DNN for Edge Computing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

2021
Ameliorate Performance of Memristor-Based ANNs in Edge Computing.
IEEE Trans. Computers, 2021

The coupling of BOLD signal variability and degree centrality underlies cognitive functions and psychiatric diseases.
NeuroImage, 2021

Surface-based single-subject morphological brain networks: Effects of morphological index, brain parcellation and similarity measure, sample size-varying stability and test-retest reliability.
NeuroImage, 2021

Memristor-Based Variation-Enabled Differentially Private Learning Systems for Edge Computing in IoT.
IEEE Internet Things J., 2021

An Adaptive Interpolation Scheme for Wideband Frequency Sweep in Electromagnetic Simulations.
CoRR, 2021

Improving DNN Fault Tolerance using Weight Pruning and Differential Crossbar Mapping for ReRAM-based Edge AI.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

TinyADC: Peripheral Circuit-aware Weight Pruning Framework for Mixed-signal DNN Accelerators.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Performing with Me: Enhancing Audience-Performer Interaction in An Immersive Virtual Play.
Proceedings of the CHI '21: CHI Conference on Human Factors in Computing Systems, 2021

2020
Anomaly Detection with Tensor Networks.
CoRR, 2020

Cycle-to-cycle Variation Enabled Energy Efficient Privacy Preserving Technology in ANN.
Proceedings of the 33rd IEEE International System-on-Chip Conference, 2020

Moiré Pattern Removal with a Generative Adversarial Network.
Proceedings of the ICGSP 2020: The 4th International Conference on Graphics and Signal Processing, 2020

2019
Memristor-Based Neuromorphic Hardware Improvement for Privacy-Preserving ANN.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Data-Pattern Enabled Self-Recovery Low-Power Storage System for Big Video Data.
IEEE Trans. Big Data, 2019

Mitigating Nonlinear Effect of Memristive Synaptic Device for Neuromorphic Computing.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2019

Thermodynamic Analysis of Transcritical CO2 Ejector Expansion Refrigeration Cycle with Dedicated Mechanical Subcooling.
Entropy, 2019

Content-Adaptive Memory for Viewer-Aware Energy-Quality Scalable Mobile Video Systems.
IEEE Access, 2019

Linear Optimization for Memristive Device in Neuromorphic Hardware.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

2018
A Novel Hybrid Delay Unit Based on Dummy TSVs for 3-D On-Chip Memory.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Viewer-Aware Intelligent Efficient Mobile Video Embedded Memory.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Time-varying algorithm for swarm robotics.
IEEE CAA J. Autom. Sinica, 2018

Viewer-Aware Intelligent Mobile Video System for Prolonged Battery Life.
Proceedings of the Human Vision and Electronic Imaging 2018, Burlingame, CA, USA, 28 January 2018, 2018

Bringing Machine Intelligence to Welding Visual Inspection: Development of Low-Cost Portable Embedded Device for Welding Quality Control.
Proceedings of the Intelligent Robotics and Industrial Applications using Computer Vision 2018, Burlingame, CA, USA, January 28, 2018

2017
SPIDER: Sizing-Priority-Based Application-Driven Memory for Mobile Video Applications.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Data-Driven Intelligent Efficient Synaptic Storage for Deep Learning.
IEEE Trans. Circuits Syst. II Express Briefs, 2017

Design and performance analysis of energy harvesting sensor networks with supercapacitor.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

On-chip thermal management method based on phase change material.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

Closed form delay models for buffer-driven TSVs in 3D on-chip memory.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

TSV modelling in 3D IC thermoelectric simulation.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

2016
PNS-FCR: Flexible Charge Recycling Dynamic Circuit Technique for Low-Power Microprocessors.
IEEE Trans. Very Large Scale Integr. Syst., 2016

cNV SRAM: CMOS Technology Compatible Non-Volatile SRAM Based Ultra-Low Leakage Energy Hybrid Memory System.
IEEE Trans. Computers, 2016

An optimization method for the distance between exits of buildings considering uncertainties based on arbitrary polynomial chaos expansion.
Reliab. Eng. Syst. Saf., 2016

Sizing-priority based low-power embedded memory for mobile video applications.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

Data-Driven Low-Cost On-Chip Memory with Adaptive Power-Quality Trade-off for Mobile Video Streaming.
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016

Luminance-adaptive smart video storage system.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Data-Pattern enabled Self-Recovery multimedia storage system for near-threshold computing.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

RF-powered battery-less Wireless Sensor Network in structural monitoring.
Proceedings of the 2016 IEEE International Conference on Electro Information Technology, 2016

Dummy TSV based bit-line optimization in 3D on-chip memory.
Proceedings of the 2016 IEEE International Conference on Electro Information Technology, 2016

2015
TM-RF: Aging-Aware Power-Efficient Register File Design for Modern Microprocessors.
IEEE Trans. Very Large Scale Integr. Syst., 2015

A novel thermal-aware structure of TSV cluster.
Proceedings of the 28th IEEE International System-on-Chip Conference, 2015

VCAS: Viewing context aware power-efficient mobile video embedded memory.
Proceedings of the 28th IEEE International System-on-Chip Conference, 2015

A TSV alignment design for multilayer 3D IC.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

Reusable IO technique for improved utility of IC test circuit area.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

Design and testing of CMOS compatible EEPROM.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

Novel CMOS technology compatible nonvolatile on-chip hybrid memory.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

The design of face recognition system based on ARM9 embedded platform.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

DCPG: Double-control power gating technique for a 28 nm Cortex™-A9 MPCore Quad-core processor.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

Transaction level model of HDMI transmitter based on System Verilog.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

A fast vector reuse verification method for standard cell library.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

A thermal-aware distribution method of TSV in 3D IC.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

2014
Variation Aware Sleep Vector Selection in Dual V<sub>t</sub> Dynamic OR Circuits for Low Leakage Register File Design.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

Different topological organization of human brain functional networks with eyes open versus eyes closed.
NeuroImage, 2014

2013
Application-driven power efficient ALU design methodology for modern microprocessors.
Proceedings of the International Symposium on Quality Electronic Design, 2013

Design and test of an SRAM chip.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

CMOS 1.2V bandgap voltage reference design.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

2012
Study on probability distribution of fire scenarios in risk assessment to emergency evacuation.
Reliab. Eng. Syst. Saf., 2012

Discriminative analysis of early Alzheimer's disease using multi-modal imaging and multi-level characterization with multi-classifier (M3).
NeuroImage, 2012

Hybrid-cell register files design for improving NBTI reliability.
Microelectron. Reliab., 2012

Accelerate the Software Software Reliability Testing Execution Process with Fuzzy Discrimination.
Proceedings of the 23rd IEEE International Symposium on Software Reliability Engineering Workshops, 2012

2011
Hemisphere- and gender-related differences in small-world brain networks: A resting-state functional MRI study.
NeuroImage, 2011

Characterizing dynamic functional connectivity in the resting brain using variable parameter regression and Kalman filtering approaches.
NeuroImage, 2011

Frequency-dependent changes in the amplitude of low-frequency fluctuations in amnestic mild cognitive impairment: A resting-state fMRI study.
NeuroImage, 2011

Leakage current, active power, and delay analysis of dynamic dual V<sub>t</sub> CMOS circuits under P-V-T fluctuations.
Microelectron. Reliab., 2011

Thermal analysis of oxide-confined VCSEL arrays.
Microelectron. J., 2011

Impedance Adapting Compensation for Low-Power Multistage Amplifiers.
IEEE J. Solid State Circuits, 2011

PVT variations aware optimal sleep vector determination of dual VT domino OR circuits.
Proceedings of the IEEE 24th International SoC Conference, SOCC 2011, Taipei, Taiwan, 2011

Low power tri-state register files design for modern out-of-order processors.
Proceedings of the IEEE 24th International SoC Conference, SOCC 2011, Taipei, Taiwan, 2011

Novel adaptive keeper LBL technique for low power and high performance register files.
Proceedings of the IEEE 24th International SoC Conference, SOCC 2011, Taipei, Taiwan, 2011

Uncertainty analysis on number of fatalities in building fires.
Proceedings of the 2011 IEEE International Conference on Industrial Engineering and Engineering Management (IEEM), 2011

A study of dual-Vt configurations of an 8T SRAM cell in 45nm.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

TSV based 3D IC wire length calculation algorithm.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

2010
Fan-in sensitive low power dynamic circuits performance statistical characterization.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2010, 2010

Domino gate with modified voltage keeper.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

2009
Fault Diagnosis of Analog IC Based on Wavelet Neural Network Ensemble.
Proceedings of the Advances in Neural Networks, 2009

Estimation for Speed and Leakage Power of Dual Threshold Domino OR Based on Wavelet Neural Networks.
Proceedings of the Advances in Neural Networks, 2009

Switching and leakage power modeling for multiple-supply dynamic gate with delay constraining based on wavelet neural networks.
Proceedings of the International Joint Conference on Neural Networks, 2009

Knowledge Discovery Approach Based on Closeness Relationship of FC.
Proceedings of the Sixth International Conference on Fuzzy Systems and Knowledge Discovery, 2009

2008
Analysis and optimization of leakage current characteristics in sub-65 nm dual V<sub>t</sub> footed domino circuits.
Microelectron. J., 2008


  Loading...