Juan Antonio Maestro

Orcid: 0000-0001-7133-9026

According to our database1, Juan Antonio Maestro authored at least 119 papers between 1998 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Integration of a Real-Time CCSDS 410.0-B-32 Error-Correction Decoder on FPGA-Based RISC-V SoCs Using RISC-V Vector Extension.
IEEE Trans. Aerosp. Electron. Syst., October, 2023

RISC-V Galois Field ISA Extension for Non-Binary Error-Correction Codes and Classical and Post-Quantum Cryptography.
IEEE Trans. Computers, March, 2023

2022
An analysis of FPGA configuration memory SEU accumulation and a preventative scrubbing technique.
Microprocess. Microsystems, April, 2022

Reducing the Impact of Defects in Quantum-Dot Cellular Automata (QCA) Approximate Adders at Nano Scale.
IEEE Trans. Emerg. Top. Comput., 2022

ACME-2: Improving the Extraction of Essential Bits in Xilinx SRAM-Based FPGAs.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

Flexible and area-efficient Galois field Arithmetic Logic Unit for soft-core processors.
Comput. Electr. Eng., 2022

2021
Combined Symbol Error Correction and Spare Through-Silicon Vias for 3D Memories.
IEEE Trans. Emerg. Top. Comput., 2021

Decoding Algorithm for Quadruple-Error-Correcting Reed-Solomon Codes and Its Derived Architectures.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

Fault Injection Emulation for Systems in FPGAs: Tools, Techniques and Methodology, a Tutorial.
Sensors, 2021

Low delay non-binary error correction codes based on Orthogonal Latin Squares.
Integr., 2021

Reliability Analysis of ASIC Designs With Xilinx SRAM-Based FPGAs.
IEEE Access, 2021

2020
An Algorithmic-Based Fault Detection Technique for the 1-D Discrete Cosine Transform.
IEEE Trans. Very Large Scale Integr. Syst., 2020

Low-Latency and Low-Power Test-Vector Selector for Reed-Solomon's Low-Complexity Chase.
IEEE Trans. Circuits Syst., 2020

Toward a Fault-Tolerant Star Tracker for Small Satellite Applications.
IEEE Trans. Aerosp. Electron. Syst., 2020

Two Behavioural Error Detection Techniques for the Cascaded Integrator-Comb Interpolation Filter Implemented on FPGA.
Circuits Syst. Signal Process., 2020

Radiation Hardened Digital Direct Synthesizer With CORDIC for Spaceborne Applications.
IEEE Access, 2020

Analyzing the impact of the Operating System on the Reliability of a RISC-V FPGA Implementation.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

Reduction of Parity Overhead in a Subset of Orthogonal Latin Square Codes.
Proceedings of the XXXV Conference on Design of Circuits and Integrated Systems, 2020

2019
Enhancing Instruction TLB Resilience to Soft Errors.
IEEE Trans. Computers, 2019

An ALU Protection Methodology for Soft Processors on SRAM-Based FPGAs.
IEEE Trans. Computers, 2019

Protection Scheme for Star Tracker Images.
IEEE Trans. Aerosp. Electron. Syst., 2019

ACME: A Tool to Improve Configuration Memory Fault Injection in SRAM-Based FPGAs.
IEEE Access, 2019

2018
An Efficient Fault-Tolerance Design for Integer Parallel Matrix-Vector Multiplications.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Reducing the Power Consumption of Fault Tolerant Registers Through Hybrid Protection.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

Efficient Fault-Tolerant Design for Parallel Matched Filters.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

A Comparison of Dual Modular Redundancy and Concurrent Error Detection in Finite Impulse Response Filters Implemented in SRAM-Based FPGAs Through Fault Injection.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

Efficient Protection of the Register File in Soft-Processors Implemented on Xilinx FPGAs.
IEEE Trans. Computers, 2018

A Scheme to Design Concurrent Error Detection Techniques for the Fast Fourier Transform Implemented in SRAM-Based FPGAs.
IEEE Trans. Computers, 2018

Modular fault tolerant processor architecture on a SoC for space.
Microelectron. Reliab., 2018

Fault tolerant encoders for Single Error Correction and Double Adjacent Error Correction codes.
Microelectron. Reliab., 2018

Seu and Sefi error detection and correction on a ddr3 memory system.
Microelectron. Reliab., 2018

2017
A Scheme to Reduce the Number of Parity Check Bits in Orthogonal Latin Square Codes.
IEEE Trans. Reliab., 2017

Single Event Transient Tolerant Bloom Filter Implementations.
IEEE Trans. Computers, 2017

Combined Modular Key and Data Error Protection for Content-Addressable Memories.
IEEE Trans. Computers, 2017

A method to protect Cuckoo filters from soft errors.
Microelectron. Reliab., 2017

Characterizing a RISC-V SRAM-based FPGA implementation against Single Event Upsets using fault injection.
Microelectron. Reliab., 2017

A method to recover critical bits under a double error in SEC-DED protected memories.
Microelectron. Reliab., 2017

Comments on "Extend orthogonal Latin square codes for 32-bit data protection in memory applications" Microelectron. Reliab. 63 278-283 (2016).
Microelectron. Reliab., 2017

A Scheme to Improve the Intrinsic Error Detection of the Instruction Set Architecture.
IEEE Comput. Archit. Lett., 2017

2016
Optimizing the Implementation of SEC-DAEC Codes in FPGAs.
IEEE Trans. Very Large Scale Integr. Syst., 2016

An Efficient Single and Double-Adjacent Error Correcting Parallel Decoder for the (24, 12) Extended Golay Code.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Fault Tolerant Parallel FFTs Using Error Correction Codes and Parseval Checks.
IEEE Trans. Very Large Scale Integr. Syst., 2016

A Comment on "Fast Bloom Filters and Their Generalization".
IEEE Trans. Parallel Distributed Syst., 2016

Combined SEU and SEFI Protection for Memories Using Orthogonal Latin Square Codes.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

A Method to Design Single Error Correction Codes With Fast Decoding for a Subset of Critical Bits.
IEEE Trans. Circuits Syst. II Express Briefs, 2016

Parallel d-Pipeline: A Cuckoo Hashing Implementation for Increased Throughput.
IEEE Trans. Computers, 2016

Unequal Error Protection Codes Derived from Double Error Correction Orthogonal Latin Square Codes.
IEEE Trans. Computers, 2016

DMR +: An efficient alternative to TMR to protect registers in Xilinx FPGAs.
Microelectron. Reliab., 2016

Implementing Double Error Correction Orthogonal Latin Squares Codes in SRAM-based FPGAs.
Microelectron. Reliab., 2016

Improving counting Bloom filter performance with fingerprints.
Inf. Process. Lett., 2016

Efficient fault tolerant parallel matrix-vector multiplications.
Proceedings of the 22nd IEEE International Symposium on On-Line Testing and Robust System Design, 2016

2015
MCU Tolerance in SRAMs Through Low-Redundancy Triple Adjacent Error Correction.
IEEE Trans. Very Large Scale Integr. Syst., 2015

A Synergetic Use of Bloom Filters for Error Detection and Correction.
IEEE Trans. Very Large Scale Integr. Syst., 2015

A Class of SEC-DED-DAEC Codes Derived From Orthogonal Latin Square Codes.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Fault Tolerant Parallel Filters Based on Error Correction Codes.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Efficient Coding Schemes for Fault-Tolerant Parallel Filters.
IEEE Trans. Circuits Syst. II Express Briefs, 2015

Low Delay Single Symbol Error Correction Codes Based on Reed Solomon Codes.
IEEE Trans. Computers, 2015

A method to protect Bloom filters from soft errors.
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015

2014
A Method to Extend Orthogonal Latin Square Codes.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Efficient implementation of error correction codes in hash tables.
Microelectron. Reliab., 2014

A fault tolerant implementation of the Goertzel algorithm.
Microelectron. Reliab., 2014

Optimized parallel decoding of difference set codes for high speed memories.
Microelectron. Reliab., 2014

Exploiting processor features to implement error detection in reduced precision matrix multiplications.
Microprocess. Microsystems, 2014

Energy Efficient Exact Matching for Flow Identification with Cuckoo Affinity Hashing.
IEEE Commun. Lett., 2014

Efficient Flow Sampling With Back-Annotated Cuckoo Hashing.
IEEE Commun. Lett., 2014

An experimental power profile of Energy Efficient Ethernet switches.
Comput. Commun., 2014

2013
Concurrent Error Detection for Orthogonal Latin Squares Encoders and Syndrome Computation.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Error Detection in Majority Logic Decoding of Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Using Single Error Correction Codes to Protect Against Isolated Defects and Soft Errors.
IEEE Trans. Reliab., 2013

An Efficient Technique to Protect Serial Shift Registers Against Soft Errors.
IEEE Trans. Circuits Syst. II Express Briefs, 2013

Reducing the Cost of Implementing Error Correction Codes in Content Addressable Memories.
IEEE Trans. Circuits Syst. II Express Briefs, 2013

Efficient Arithmetic-Residue-Based SEU-Tolerant FIR Filter Design.
IEEE Trans. Circuits Syst. II Express Briefs, 2013

A Method to Construct Low Delay Single Error Correction Codes for Protecting Data Bits Only.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Low Complexity Concurrent Error Detection for Complex Multiplication.
IEEE Trans. Computers, 2013

Soft error tolerant Content Addressable Memories (CAMs) using error detection codes and duplication.
Microprocess. Microsystems, 2013

Diverse Double Modular Redundancy: A New Direction for Soft-Error Detection and Correction.
IEEE Des. Test, 2013

Enhanced Duplication: a Technique to Correct Soft Errors in Narrow Values.
IEEE Comput. Archit. Lett., 2013

Implementing triple adjacent Error Correction in double error correction Orthogonal Latin Squares Codes.
Proceedings of the 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2013

2012
Efficient Majority Logic Fault Detection With Difference-Set Codes for Memory Applications.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Network monitoring for energy efficiency in large-scale networks: the case of the Spanish Academic Network.
J. Supercomput., 2012

Implementing Concurrent Error Detection in Infinite-Impulse-Response Filters.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

Multiple Cell Upset Correction in Memories Using Difference Set Codes.
IEEE Trans. Circuits Syst. I Regul. Pap., 2012

Efficient error detection in Double Error Correction BCH codes for memory applications.
Microelectron. Reliab., 2012

Study of the potential energy savings in Ethernet by combining Energy Efficient Ethernet and Adaptive Link Rate.
Trans. Emerg. Telecommun. Technol., 2012

Low Power embedded DRAM caches using BCH code partitioning.
Proceedings of the 18th IEEE International On-Line Testing Symposium, 2012

An energy consumption model for Energy Efficient Ethernet switches.
Proceedings of the 2012 International Conference on High Performance Computing & Simulation, 2012

2011
Mitigating the effects of large multiple cell upsets (MCUs) in memories.
ACM Trans. Design Autom. Electr. Syst., 2011

Structural DMR: A Technique for Implementation of Soft-Error-Tolerant FIR Filters.
IEEE Trans. Circuits Syst. II Express Briefs, 2011

Offset DMR: A Low Overhead Soft Error Detection and Correction Technique for Transform-Based Convolution.
IEEE Trans. Computers, 2011

Towards an energy efficient 10 Gb/s optical ethernet: Performance analysis and viability.
Opt. Switch. Netw., 2011

A fast and efficient technique to apply Selective TMR through optimization.
Microelectron. Reliab., 2011

Mitigation of permanent faults in adaptive equalizers.
Microelectron. Reliab., 2011

Low-complexity Concurrent Error Detection for convolution with Fast Fourier Transforms.
Microelectron. Reliab., 2011

On the expected longest length probe sequence for hashing with separate chaining.
J. Discrete Algorithms, 2011

Implications of energy efficient Ethernet for hubs and switches.
Int. J. Commun. Networks Distributed Syst., 2011

An Initial Evaluation of Energy Efficient Ethernet.
IEEE Commun. Lett., 2011

Fault Tolerant Single Error Correction Encoders.
J. Electron. Test., 2011

On the Impact of the TCP Acknowledgement Frequency on Energy Efficient Ethernet Performance.
Proceedings of the NETWORKING 2011 Workshops - International IFIP TC 6 Workshops, PE-CRN, 2011

Using Coordinated Transmission with Energy Efficient Ethernet.
Proceedings of the NETWORKING 2011, 2011

Validation and optimization of TMR protections for circuits in radiation environments.
Proceedings of the 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2011

Designing ad-hoc scrubbing sequences to improve memory reliability against soft errors.
Proceedings of the 48th Design Automation Conference, 2011

2010
Reliability analysis of memories protected with BICS and a per-word parity bit.
ACM Trans. Design Autom. Electr. Syst., 2010

Energy Efficiency in Industrial Ethernet: The Case of Powerlink.
IEEE Trans. Ind. Electron., 2010

Efficient Soft Error-Tolerant Adaptive Equalizers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

Burst Transmission for Energy-Efficient Ethernet.
IEEE Internet Comput., 2010

IEEE 802.3az: the road to energy efficient ethernet.
IEEE Commun. Mag., 2010

2009
Reliability of Single-Error Correction Protected Memories.
IEEE Trans. Reliab., 2009

Efficient error detection codes for multiple-bit upset correction in SRAMs with BICS.
ACM Trans. Design Autom. Electr. Syst., 2009

A method to eliminate the event accumulation problem from a memory affected by multiple bit upsets.
Microelectron. Reliab., 2009

Protection against soft errors in the space environment: A finite impulse response (FIR) filter case study.
Integr., 2009

Performance evaluation of energy efficient ethernet.
IEEE Commun. Lett., 2009

Soft error detection and correction for FFT based convolution using different block lengths.
Proceedings of the 15th IEEE International On-Line Testing Symposium (IOLTS 2009), 2009

2008
Fault Tolerance Analysis of Communication System Interleavers: the 802.11a Case Study.
J. Signal Process. Syst., 2008

Study of the effects of MBUs on the reliability of a 150 nm SRAM device.
Proceedings of the 45th Design Automation Conference, 2008

2007
An Experimental Analysis of SEU Sensitiveness on System Knowledge-based Hardening Techniques.
Proceedings of the 10th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2007), 2007

2004
New Alternatives to the Estimation Problem in Hardware-Software Codesign of Complex Embedded Systems: The H.261 Video Co-dec Case Study.
Des. Autom. Embed. Syst., 2004

1999
The Heterogeneous Structure Problem in Hardware/Software Codesign: A Macroscopic Approach.
Proceedings of the 1999 Design, 1999

1998
A Grouping Partitioning Technique with Automatic Criterion Selection for the Codesign Proces.
Proceedings of the 24th EUROMICRO '98 Conference, 1998

A Macroscopic Time and Cost Estimation Model Allowing Task Parallelism and Hardware Sharing for the Codesign Partitioning Process.
Proceedings of the 1998 Design, 1998


  Loading...