Marco Ottavi

Orcid: 0000-0002-5064-7342

According to our database1, Marco Ottavi authored at least 107 papers between 2000 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Simulation Environment with Customized RISC-V Instructions for Logic-in-Memory Architectures.
CoRR, 2023

Towards Dependable RISC-V Cores for Edge Computing Devices.
Proceedings of the 29th International Symposium on On-Line Testing and Robust System Design, 2023

An unprotected RISC-V Soft-core processor on an SRAM FPGA: Is it as bad as it sounds?
Proceedings of the IEEE European Test Symposium, 2023

DEV-PIM: Dynamic Execution Validation with Processing-in-Memory.
Proceedings of the IEEE European Test Symposium, 2023

Exploring Genomic Sequence Alignment for Improving Side-Channel Analysis.
Proceedings of the Computer Security - ESORICS 2023, 2023

Built-in Software Obfuscation for Protecting Microprocessors against Hardware Trojan Horses.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2023

Improving the Detection of Hardware Trojan Horses in Microprocessors via Hamming Codes.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2023

Neutron Radiation Tests of the NEORV32 RISC-V SoC on Flash-Based FPGAs.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2023

2022
Processor Security: Detecting Microarchitectural Attacks via Count-Min Sketches.
IEEE Trans. Very Large Scale Integr. Syst., 2022

Is your FPGA bitstream Hardware Trojan-free? Machine learning can provide an answer.
J. Syst. Archit., 2022

Yield Evaluation of Faulty Memristive Crossbar Array-based Neural Networks with Repairability.
Proceedings of the 28th IEEE International Symposium on On-Line Testing and Robust System Design, 2022

ERIC: An Efficient and Practical Software Obfuscation Framework.
Proceedings of the 52nd Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2022

Is RISC-V ready for Space? A Security Perspective.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2022

Preventing Soft Errors and Hardware Trojans in RISC-V Cores.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2022

2021
A Conditionally Chaotic Physically Unclonable Function Design Framework with High Reliability.
ACM Trans. Design Autom. Electr. Syst., 2021

Guest Editorial: IEEE Transactions on Emerging Topics in Computing Special Issue on Advanced Command, Control and On-Board Data Processing for Space Avionic Systems.
IEEE Trans. Emerg. Top. Comput., 2021

Soft Error Tolerant Count Min Sketches.
IEEE Trans. Computers, 2021

A Memristive Architecture for Process Variation Aware Gas Sensing and Logic Operations.
Proceedings of the 27th IEEE International Symposium on On-Line Testing and Robust System Design, 2021

A Lightweight Security Checking Module to Protect Microprocessors against Hardware Trojan Horses.
Proceedings of the 36th IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2021

Reliability Assessment of Memristor based Gas Sensor Array.
Proceedings of the 36th IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2021

2020
Yield Estimation of a Memristive Sensor Array.
Proceedings of the 26th IEEE International Symposium on On-Line Testing and Robust System Design, 2020

A Microprocessor Protection Architecture against Hardware Trojans in Memories.
Proceedings of the 15th Design & Technology of Integrated Systems in Nanoscale Era, 2020

Sensing with Memristive Complementary Resistive Switch: Modelling and Simulations.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2020

On-Board Satellite Telemetry Forecasting with RNN on RISC-V Based Multicore Processor.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2020

2019
Memristor Based Planar Tunable RF Circuits.
J. Circuits Syst. Comput., 2019

Design and Implementation of a Flexible Interface for TID Detector.
Proceedings of the IEEE 8th International Workshop on Advances in Sensors and Interfaces, 2019

The Missing Applications Found: Robust Design Techniques and Novel Uses of Memristors.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

Fault Modeling and Simulation of Memristor based Gas Sensors.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

Perovskite based Low Power Synaptic Memristor Device for Neuromorphic application.
Proceedings of the 14th International Conference on Design & Technology of Integrated Systems In Nanoscale Era, 2019

Fault Tolerant Photovoltaic Array: A Repair Circuit Based on Memristor Sensing.
Proceedings of the 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2019

Characterization of a RISC-V Microcontroller Through Fault Injection.
Proceedings of the Applications in Electronics Pervading Industry, Environment and Society, 2019

2018
Guest Editorial: IEEE Transactions on Emerging Topics in Computing Special Issue on Design & Technology of Integrated Systems in Deep Submicron Era.
IEEE Trans. Emerg. Top. Comput., 2018

Opcode vector: An efficient scheme to detect soft errors in instructions.
Microelectron. Reliab., 2018

Memristor based adaptive impedance and frequency tuning network.
Proceedings of the 13th International Conference on Design & Technology of Integrated Systems In Nanoscale Era, 2018

Setup and experimental results analysis of COTS Camera and SRAMs at the ISIS neutron facility.
Proceedings of the 13th International Conference on Design & Technology of Integrated Systems In Nanoscale Era, 2018

Two dimensional FFT architecture based on radix-4<sup>3</sup> algorithm with efficient output reordering.
Proceedings of the 13th International Conference on Design & Technology of Integrated Systems In Nanoscale Era, 2018

Complementary Resistive Switch Sensing.
Proceedings of the 2018 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2018

45nm Bit-Interleaving Differential 10T Low Leakage FinFET Based SRAM with Column-Wise Write Access Control.
Proceedings of the 2018 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2018

The Case for RISC-V in Space.
Proceedings of the Applications in Electronics Pervading Industry, Environment and Society, 2018

2017
Guest Editorial: IEEE Transactions on Computers and IEEE Transactions on Emerging Topics in Computing Joint Special Section on Innovation in Reconfigurable Computing Fabrics from Devices to Architectures.
IEEE Trans. Emerg. Top. Comput., 2017

Reliable gas sensing with memristive array.
Proceedings of the 23rd IEEE International Symposium on On-Line Testing and Robust System Design, 2017

High-energy neutrons characterization of a safety critical computing system.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2017

Detecting errors in instructions with bloom filters.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2017

2016
Qualitative techniques for System-on-Chip test with low-energy protons.
Proceedings of the 2016 International Conference on Design and Technology of Integrated Systems in Nanoscale Era, 2016

Design and characterization of a high-safety hardware/software module for the acquisition of Eurobalise telegrams.
Proceedings of the 2016 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2016

A novel method for SEE validation of complex SoCs using Low-Energy Proton beams.
Proceedings of the 2016 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2016

2015
A Synergetic Use of Bloom Filters for Error Detection and Correction.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Low Delay Single Symbol Error Correction Codes Based on Reed Solomon Codes.
IEEE Trans. Computers, 2015

Dependable Multicore Architectures at Nanoscale: The View From Europe.
IEEE Des. Test, 2015

2T2M memristor based TCAM cell for low power applications.
Proceedings of the 10th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, 2015

A method to protect Bloom filters from soft errors.
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015

Enhancing embedded SRAM security and error tolerance with hardware CRC and obfuscation.
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015

Characterization of low power radiation-hard reed-solomon code protected serializers in 65-nm for HEP experiments electronics.
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015

2014
Efficient implementation of error correction codes in hash tables.
Microelectron. Reliab., 2014

Using memristor state change behavior to identify faults in photovoltaic arrays.
Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2014

Characterization of data retention faults in DRAM devices.
Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2014

Complementary resistive switch based stateful logic operations using material implication.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
Reducing the Cost of Implementing Error Correction Codes in Content Addressable Memories.
IEEE Trans. Circuits Syst. II Express Briefs, 2013

A Method to Construct Low Delay Single Error Correction Codes for Protecting Data Bits Only.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Error Detection and Correction in Content Addressable Memories by Using Bloom Filters.
IEEE Trans. Computers, 2013

F-DICE: A multiple node upset tolerant flip-flop for highly radioactive environments.
Proceedings of the 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2013

Error detection in ternary CAMs using bloom filters.
Proceedings of the Design, Automation and Test in Europe, 2013

2012
A novel write-scheme for data integrity in memristor-based crossbar memories.
Proceedings of the 2012 IEEE/ACM International Symposium on Nanoscale Architectures, 2012

Introducing MEDIAN: A new COST Action on manufacturable and dependable multicore architectures at nanoscale.
Proceedings of the 17th IEEE European Test Symposium, 2012

On the design of two single event tolerant slave latches for scan delay testing.
Proceedings of the 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2012

High-reliability fault tolerant digital systems in nanometric technologies: Characterization and design methodologies.
Proceedings of the 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2012

2011
On the Effects of Intra-gate Resistive Open Defects in Gates at Nanoscaled CMOS.
Proceedings of the 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2011

Feedback based droop mitigation.
Proceedings of the Design, Automation and Test in Europe, 2011

2010
High throughput and low power dissipation in QCA pipelines using Bennett clocking.
Proceedings of the 2010 IEEE/ACM International Symposium on Nanoscale Architectures, 2010

Error Detection and Correction in Content Addressable Memories.
Proceedings of the 25th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2010

Modeling Open Defects in Nanometric Scale CMOS.
Proceedings of the 25th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2010

2009
Modeling and Evaluating Errors Due to Random Clock Shifts in Quantum-Dot Cellular Automata Circuits.
J. Electron. Test., 2009

2008
Two-Dimensional Schemes for Clocking/Timing of QCA Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

A Serial Memory by Quantum-Dot Cellular Automata (QCA).
IEEE Trans. Computers, 2008

Analysis and Evaluations of Reliability of Reconfigurable FPGAs.
J. Electron. Test., 2008

2007
QCA Circuits for Robust Coplanar Crossing.
J. Electron. Test., 2007

On the Error Effects of Random Clock Shifts in Quantum-Dot Cellular Automata Circuits.
Proceedings of the 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), 2007

2006
Evaluating the Yield of Repairable SRAMs for ATE.
IEEE Trans. Instrum. Meas., 2006

Fault Localization, Error Correction, and Graceful Degradation in Radix 2 Signed Digit-Based Adders.
IEEE Trans. Computers, 2006

HDLQ: A HDL environment for QCA design.
ACM J. Emerg. Technol. Comput. Syst., 2006

Localization of Faults in Radix-n Signed Digit Adders.
Proceedings of the 12th IEEE International On-Line Testing Symposium (IOLTS 2006), 2006

Reliability Evaluation of Repairable/Reconfigurable FPGAs.
Proceedings of the 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 2006

Design and Evaluation of a Hardware on-line Program-Flow Checker for Embedded Microcontrollers.
Proceedings of the 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 2006

Novel designs for thermally robust coplanar crossing in QCA.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

2005
Tile-based QCA design using majority-like logic primitives.
ACM J. Emerg. Technol. Comput. Syst., 2005

A Comparative Evaluation of Designs for Reliable Memory Systems.
J. Electron. Test., 2005

Design of a QCA Memory with Parallel Read/Serial Write.
Proceedings of the 2005 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2005), 2005

Evaluating the Data Integrity of Memory Systems by Configurable Markov Models.
Proceedings of the 2005 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2005), 2005

Tile-based design of a serial memory in QCA.
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005

Modeling QCA Defects at Molecular-level in Combinational Circuits.
Proceedings of the 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 2005

On the Analysis of Reed Solomon Coding for Resilience to Transient/Permanent Faults in Highly Reliable Memories.
Proceedings of the 2005 Design, 2005

2004
Markov Models of Fault-Tolerant Memory Systems under SEU.
Proceedings of the 12th IEEE International Workshop on Memory Technology, 2004

A Signed Digit Adder with Error Correction and Graceful Degradation Capabilities.
Proceedings of the 10th IEEE International On-Line Testing Symposium (IOLTS 2004), 2004

Simulation of reconfigurable memory core yield.
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004

On The Yield of Compiler-Based eSRAMs.
Proceedings of the 19th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2004), 2004

Testing of Inter-Word Coupling Faults in Word-Oriented SRAMs.
Proceedings of the 19th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2004), 2004

Data Integrity Evaluations of Reed Solomon Codes for Storage Systems.
Proceedings of the 19th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2004), 2004

2003
Design of a fault tolerant solid state mass memory.
IEEE Trans. Reliab., 2003

A fault tolerant hardware based file system manager for solid state mass memory.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Yield Analysis of Compiler-Based Arrays of Embedded SRAMs.
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003

Error Detection in Signed Digit Arithmetic Circuit with Parity Checker.
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003

2002
A self-checking cell logic block for fault tolerant FPGAs.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Bit Flip Injection in Processor-Based Architectures: A Case Study.
Proceedings of the 8th IEEE International On-Line Testing Workshop (IOLTW 2002), 2002

2001
Development of a dynamic routing system for a fault tolerant solid state mass memory.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

System-on-Chip Oriented Fault-Tolerant Sequential Systems Implementation Methodology.
Proceedings of the 16th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2001), 2001

Design of a Totally Self Checking Signature Analysis Checker for Finite State Machines.
Proceedings of the 16th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2001), 2001

2000
A Fault-Tolerant 176 Gbit Solid State Mass Memory Architecture.
Proceedings of the 15th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2000), 2000


  Loading...