Mariagrazia Graziano

Orcid: 0000-0002-8721-9990

Affiliations:
  • Polytechnic University of Turin, Department of Applied Science and Technology, Italy (PhD 2001)


According to our database1, Mariagrazia Graziano authored at least 88 papers between 1999 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Design of Pyrrole-Based Gate-Controlled Molecular Junctions Optimized for Single-Molecule Aflatoxin B1 Detection.
Sensors, February, 2023

Taming Molecular Field-Coupling for Nanocomputing Design.
ACM J. Emerg. Technol. Comput. Syst., January, 2023

Advances in Modeling of Noisy Quantum Computers: Spin Qubits in Semiconductor Quantum Dots.
IEEE Access, 2023

2022
Parallel Computation in the Racetrack Memory.
IEEE Trans. Emerg. Top. Comput., 2022

Hybrid-SIMD: A Modular and Reconfigurable Approach to Beyond von Neumann Computing.
IEEE Trans. Computers, 2022

Development of a multi-technology, template-based quantum circuits compilation toolchain.
Quantum Inf. Process., 2022

Towards Compact Modeling of Noisy Quantum Computers: A Molecular-Spin-Qubit Case of Study.
ACM J. Emerg. Technol. Comput. Syst., 2022

2021
SCERPA Simulation of Clocked Molecular Field-Coupling Nanocomputing.
IEEE Trans. Very Large Scale Integr. Syst., 2021

FUNCODE: Effective Device-to-System Analysis of Field-Coupled Nanocomputing Circuit Designs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

A Reconfigurable Field-Coupled Nanocomputing Paradigm on Uniform Molecular Monolayers.
Proceedings of the 2021 International Conference on Rebooting Computing (ICRC), Los Alamitos, CA, USA, November 30, 2021

Octantis: An Exploration Tool for Beyond von Neumann architectures.
Proceedings of the 16th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, 2021

2020
SCERPA: A Self-Consistent Algorithm for the Evaluation of the Information Propagation in Molecular Field-Coupled Nanocomputing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Data Processing and Information Classification - An In-Memory Approach.
Sensors, 2020

Advances in Molecular Quantum Computing: from Technological Modeling to Circuit Design.
Proceedings of the 2020 IEEE Computer Society Annual Symposium on VLSI, 2020

2019
Exploiting the Logic-In-Memory paradigm for speeding-up data-intensive algorithms.
Integr., 2019

Bistable Propagation of Monostable Molecules in Molecular Field-Coupled Nanocomputing.
Proceedings of the 15th Conference on Ph.D. Research in Microelectronics and Electronics, 2019

WINNER: a high speed high energy efficient Neural Network implementation for image classification.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

Fault Tolerant Photovoltaic Array: A Repair Circuit Based on Memristor Sensing.
Proceedings of the 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2019

Low Power Speaker Identification using Look Up-free Gaussian Mixture Model in CMOS.
Proceedings of the IEEE Symposium in Low-Power and High-Speed Chips, 2019

2018
Exploration of multilayer field-coupled nanomagnetic circuits.
Microelectron. J., 2018

Nanoarrays for Systolic Biosequence Analysis.
J. Circuits Syst. Comput., 2018

Exploring N<sup>3</sup>ASIC technology for microwave imaging architectures.
Integr., 2018

Architectural exploration of perpendicular Nano Magnetic Logic based circuits.
Integr., 2018

2017
Domain Wall Interconnections for NML.
IEEE Trans. Very Large Scale Integr. Syst., 2017

ToPoliNano: A CAD Tool for Nano Magnetic Logic.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Efficient and reliable fault analysis methodology for nanomagnetic circuits.
Int. J. Circuit Theory Appl., 2017

2016
Reconfigurable Systolic Array: From Architecture to Physical Design for NML.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Integrating Multiple Spatial Datasets to Assess Protected Areas: Lessons Learnt from the Digital Observatory for Protected Areas (DOPA).
ISPRS Int. J. Geo Inf., 2016

A Reconfigurable Array Architecture for NML.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

Towards Logic-In-Memory circuits using 3D-integrated Nanomagnetic logic.
Proceedings of the IEEE International Conference on Rebooting Computing, 2016

2015
Feedbacks in QCA: A Quantitative Approach.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Protein Alignment Systolic Array Throughput Optimization.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Interleaving in Systolic-Arrays: A Throughput Breakthrough.
IEEE Trans. Computers, 2015

Process Variability and Electrostatic Analysis of Molecular QCA.
ACM J. Emerg. Technol. Comput. Syst., 2015

Logic-in-Memory: A Nano Magnet Logic Implementation.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

Logic-in-Memory architecture made real.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

2014
NanoMagnet Logic: An Architectural Level Overview.
Proceedings of the Field-Coupled Nanocomputing - Paradigms, Progress, and Perspectives, 2014

Electric Clock for NanoMagnet Logic Circuits.
Proceedings of the Field-Coupled Nanocomputing - Paradigms, Progress, and Perspectives, 2014

ToPoliNano: NanoMagnet Logic Circuits Design and Simulation.
Proceedings of the Field-Coupled Nanocomputing - Paradigms, Progress, and Perspectives, 2014

Understanding a Bisferrocene Molecular QCA Wire.
Proceedings of the Field-Coupled Nanocomputing - Paradigms, Progress, and Perspectives, 2014

UWB microwave imaging for breast cancer detection: Many-core, GPU, or FPGA?
ACM Trans. Embed. Comput. Syst., 2014

Enabling design and simulation of massive parallel nanoarchitectures.
J. Parallel Distributed Comput., 2014

Nanoarray architectures multilevel simulation.
ACM J. Emerg. Technol. Comput. Syst., 2014

Simulation and design of an UWB imaging system for breast cancer detection.
Integr., 2014

Fault tolerant nanoarray circuits: Automatic design and verification.
Proceedings of the 32nd IEEE VLSI Test Symposium, 2014

Molecular transistor circuits: From device model to circuit simulation.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2014

A standard cell approach for MagnetoElastic NML circuits.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2014

Dynamic Gap Selector: A Smith Waterman Sequence Alignment Algorithm with Affine Gap Model Optimization.
Proceedings of the International Work-Conference on Bioinformatics and Biomedical Engineering, 2014

Physical design and testing of Nano Magnetic architectures.
Proceedings of the 9th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, 2014

2013
Hardware Acceleration of Beamforming in a UWB Imaging Unit for Breast Cancer Detection.
VLSI Design, 2013

Nanomagnetic Logic Microprocessor: Hierarchical Power Model.
IEEE Trans. Very Large Scale Integr. Syst., 2013

A Hardware Viewpoint on Biosequence Analysis: What's Next?
ACM J. Emerg. Technol. Comput. Syst., 2013

UWB receiver for breast cancer detection: Comparison between two different approaches.
Proceedings of the 2013 IEEE International SOC Conference, Erlangen, Germany, 2013

Automatic Place&Route of Nano-magnetic Logic circuits.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2013

Charge distribution in a molecular QCA wire based on bis-ferrocene molecules.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2013

On the functional test of the BTB logic in pipelined and superscalar processors.
Proceedings of the 14th Latin American Test Workshop, 2013

Biosequences analysis on NanoMagnet Logic.
Proceedings of 2013 International Conference on IC Design & Technology, 2013

Breast cancer detection based on an UWB imaging system: Receiver design and simulations.
Proceedings of 2013 International Conference on IC Design & Technology, 2013

A 130nm PMOS drain-degenerated ratioless level-shifter for near-threshold designs.
Proceedings of 2013 IEEE 18th Conference on Emerging Technologies & Factory Automation, 2013

2012
UDSM Trends Comparison: From Technology Roadmap to UltraSparc Niagara2.
IEEE Trans. Very Large Scale Integr. Syst., 2012

A Multistandard Digital HD/SD Audio Multiplexer With Modular Ancillary Packet Substitution.
IEEE Trans. Circuits Syst. Video Technol., 2012

ToPoliNano: nanoarchitectures design made real.
Proceedings of the 2012 IEEE/ACM International Symposium on Nanoscale Architectures, 2012

Protein alignment HW/SW optimizations.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

FFT implementation using QCA.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

2011
Asynchronous Solutions for Nanomagnetic Logic Circuits.
ACM J. Emerg. Technol. Comput. Syst., 2011

Asynchrony in Quantum-Dot Cellular Automata Nanocomputation: Elixir or Poison?
IEEE Des. Test Comput., 2011

Nanofabric power analysis: Biosequence alignment case study.
Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, 2011

2010
A flexible simulation methodology and tool for nanoarray-based architectures.
Proceedings of the 28th International Conference on Computer Design, 2010

A flexible UWB Transmitter for breast cancer detection imaging systems.
Proceedings of the Design, Automation and Test in Europe, 2010

2009
A mixed-signal demodulator for a low-complexity IR-UWB receiver: Methodology, simulation and design.
Integr., 2009

A Fully Differential Digital CMOS UWB Pulse Generator.
Circuits Syst. Signal Process., 2009

A fully digital power supply noise thermometer.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2009, 2009

2008
A VHDL-AMS Simulation Environment for an UWB Impulse Radio Transceiver.
IEEE Trans. Circuits Syst. I Regul. Pap., 2008

Statistical power supply dynamic noise prediction in hierarchical power grid and package networks.
Integr., 2008

An Automotive CD-Player Electro-Mechanics Fault Simulation Using VHDL-AMS.
J. Electron. Test., 2008

2007
An effective AMS top-down methodology applied to the design of a mixed-signal UWB system-on-chip.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2006
Power Supply Selective Mapping for Accurate Timing Analysis.
J. Low Power Electron., 2006

2005
Including Power Supply Variations into Static Timing Analysis: Methodology and Flow.
Proceedings of the Proceedings 2005 IEEE International SOC Conference, 2005

2004
An electromigration and thermal model of power wires for a priori high-level reliability prediction.
IEEE Trans. Very Large Scale Integr. Syst., 2004

Effects of temperature in deep-submicron global interconnect optimization in future technology nodes.
Microelectron. J., 2004

2003
Coupled electro-thermal modeling and optimization of clock networks.
Microelectron. J., 2003

Effects of Temperature in Deep-Submicron Global Interconnect Optimization.
Proceedings of the Integrated Circuit and System Design, 2003

2002
Clock Distribution Network Optimization under Self-Heating and Timing Constraints.
Proceedings of the Integrated Circuit Design. Power and Timing Modeling, 2002

2001
Switching Noise Analysis Framework For High Speed Logic Families.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

Hierarchical power supply noise evaluation for early power grid design prediction.
Proceedings of the Third IEEE/ACM International Workshop on System-Level Interconnect Prediction (SLIP 2001), March 31, 2001

2000
Power supply design parameters prediction for high performance IC design flows.
Proceedings of the Second IEEE/ACM International Workshop on System-Level Interconnect Prediction (SLIP 2000), 2000

Noise Safety Design Methodologies.
Proceedings of the 1st International Symposium on Quality of Electronic Design (ISQED 2000), 2000

1999
A global optimization tool for CMOS logic circuits.
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999


  Loading...