Matteo Sonza Reorda

Orcid: 0000-0003-2899-7669

Affiliations:
  • Polytechnic University of Turin, Italy


According to our database1, Matteo Sonza Reorda authored at least 503 papers between 1988 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Automating the Generation of Programs Maximizing the Repeatable Constant Switching Activity in Microprocessor Units via MaxSAT.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

Self-Test Library Generation for In-Field Test of Path Delay Faults.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

STLs for GPUs: Using High-Level Language Approaches.
IEEE Des. Test, August, 2023

A Low-Cost Burn-In Tester Architecture to Supply Effective Electrical Stress.
IEEE Trans. Computers, May, 2023

Automating the Generation of Programs Maximizing the Sustained Switching Activity in Microprocessor units via Evolutionary Techniques.
Microprocess. Microsystems, April, 2023

Using STLs for Effective In-Field Test of GPUs.
IEEE Des. Test, April, 2023

A Toolchain to Quantify Burn-In Stress Effectiveness on Large Automotive System-on-Chips.
IEEE Access, 2023

Evaluating the Impact of Transition Delay Faults in GPUs.
Proceedings of the 36th International Conference on VLSI Design and 2023 22nd International Conference on Embedded Systems, 2023

Analyzing the Impact of Different Real Number Formats on the Structural Reliability of TCUs in GPUs.
Proceedings of the 31st IFIP/IEEE International Conference on Very Large Scale Integration, 2023

Functional Testing with STLs: A Step Towards Reliable RISC-V-based HPC Commodity Clusters.
Proceedings of the High Performance Computing, 2023

Understanding the Effects of Permanent Faults in GPU's Parallelism Management and Control Units.
Proceedings of the International Conference for High Performance Computing, 2023

RISC-V-Based Platforms for HPC: Analyzing Non-functional Properties for Future HPC and Big-Data Clusters.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2023

Analyzing the Architectural Impact of Transient Fault Effects in SFUs of GPUs.
Proceedings of the 24th IEEE Latin American Test Symposium, 2023

On the integration and hardening of Software Test Libraries in Real-Time Operating Systems.
Proceedings of the 24th IEEE Latin American Test Symposium, 2023

Reliability Estimation of Split DNN Models for Distributed Computing in IoT Systems.
Proceedings of the 32nd IEEE International Symposium on Industrial Electronics, 2023

TREFU: An Online Error Detecting and Correcting Fault Tolerant GPGPU Architecture.
Proceedings of the 29th International Symposium on On-Line Testing and Robust System Design, 2023

Image Test Libraries for the on-line self-test of functional units in GPUs running CNNs.
Proceedings of the IEEE European Test Symposium, 2023

Constraint-Based Automatic SBST Generation for RISC-V Processor Families.
Proceedings of the IEEE European Test Symposium, 2023

Automating the Generation of Functional Stress Inducing Stimuli for Burn-In Testing.
Proceedings of the IEEE European Test Symposium, 2023

Evaluating the Prevalence of SFUs in the Reliability of GPUs.
Proceedings of the IEEE European Test Symposium, 2023


Targeting different defect-oriented fault models in IC testing: an experimental approach.
Proceedings of the 26th Euromicro Conference on Digital System Design, 2023

Uncovering hidden vulnerabilities in CNNs through evolutionary-based Image Test Libraries.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2023

DDSR: An Online GPGPU Instruction Decoder Error Detecting and Correcting Architecture.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2023

Evaluating the Impact of Aging on Path-Delay Self-Test Libraries.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2023

A Reliability-aware Environment for Design Exploration for GPU Devices.
Proceedings of the 26th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2023

Collecting diagnostic information through dichotomic search from Logic BIST of failing in-field automotive SoCs with delay faults.
Proceedings of the 26th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2023

Assessing Convolutional Neural Networks Reliability through Statistical Fault Injections.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Automatic Identification of Functionally Untestable Cell-Aware Faults in Microprocessors.
Proceedings of the 32nd IEEE Asian Test Symposium, 2023

2022
Evaluating low-level software-based hardening techniques for configurable GPU architectures.
J. Supercomput., 2022

Characterizing a Neutron-Induced Fault Model for Deep Neural Networks.
CoRR, 2022

A New Method to Generate Software Test Libraries for In-Field GPU Testing Resorting to High-Level Languages.
Proceedings of the 40th IEEE VLSI Test Symposium, 2022

Exploiting post-silicon debug hardware to improve the fault coverage of Software Test Libraries.
Proceedings of the 40th IEEE VLSI Test Symposium, 2022

A novel Pattern Selection Algorithm to reduce the Test Cost of large Automotive Systems-on-Chip.
Proceedings of the 23rd IEEE Latin American Test Symposium, 2022

In-field Data Collection System through Logic BIST for large Automotive Systems-on-Chip.
Proceedings of the IEEE International Test Conference, 2022

A Multi-level Approach to Evaluate the Impact of GPU Permanent Faults on CNN's Reliability.
Proceedings of the IEEE International Test Conference, 2022

Evaluating the impact of Permanent Faults in a GPU running a Deep Neural Network.
Proceedings of the IEEE International Test Conference in Asia, 2022

REFU: Redundant Execution with Idle Functional Units, Fault Tolerant GPGPU architecture.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022

Microarchitectural Reliability Evaluation of a Block Scheduling Controller in GPUs.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022

A novel SEU injection setup for Automotive SoC.
Proceedings of the 31st IEEE International Symposium on Industrial Electronics, 2022

Reliability Assessment of Neural Networks in GPUs: A Framework For Permanent Faults Injections.
Proceedings of the 31st IEEE International Symposium on Industrial Electronics, 2022

Effective fault simulation of GPU's permanent faults for reliability estimation of CNNs.
Proceedings of the 28th IEEE International Symposium on On-Line Testing and Robust System Design, 2022

Recent Trends and Perspectives on Defect-Oriented Testing.
Proceedings of the 28th IEEE International Symposium on On-Line Testing and Robust System Design, 2022

A comparative overview of ATPG flows targeting traditional and cell-aware fault models.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

Neural Network's Reliability to Permanent Faults: Analyzing the Impact of Performance Optimizations in GPUs.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

Effective techniques for automatically improving the transition delay fault coverage of Self-Test Libraries.
Proceedings of the IEEE European Test Symposium, 2022

An Optimized Burn-In Stress Flow targeting Interconnections logic to Embedded Memories in Automotive Systems-on-Chip.
Proceedings of the IEEE European Test Symposium, 2022


Machine Learning for Test, Diagnosis, Post-Silicon Validation and Yield Optimization.
Proceedings of the IEEE European Test Symposium, 2022

Improving the Fault Resilience of Neural Network Applications Through Security Mechanisms.
Proceedings of the 52nd Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2022

Online scheduling of concurrent Memory BISTs execution at Real-Time Operating-System level.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2022

A Compaction Method for STLs for GPU in-field test.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Using Formal Methods to Support the Development of STLs for GPUs.
Proceedings of the IEEE 31st Asian Test Symposium, 2022

2021
DYRE: a DYnamic REconfigurable solution to increase GPGPU's reliability.
J. Supercomput., 2021

Guest Editors' Introduction: SBCCI 2019.
IEEE Des. Test, 2021

Towards the Integration of Reliability and Security Mechanisms to Enhance the Fault Resilience of Neural Networks.
IEEE Access, 2021

New Techniques for the Automatic Identification of Uncontrollable Lines in a CPU Core.
Proceedings of the 39th IEEE VLSI Test Symposium, 2021

Combining Architectural Simulation and Software Fault Injection for a Fast and Accurate CNNs Reliability Evaluation on GPUs.
Proceedings of the 39th IEEE VLSI Test Symposium, 2021

Comparing different solutions for testing resistive defects in low-power SRAMs.
Proceedings of the 22nd IEEE Latin American Test Symposium, 2021

Protecting GPU's Microarchitectural Vulnerabilities via Effective Selective Hardening.
Proceedings of the 27th IEEE International Symposium on On-Line Testing and Robust System Design, 2021

Self-Test Libraries Analysis for Pipelined Processors Transition Fault Coverage Improvement.
Proceedings of the 27th IEEE International Symposium on On-Line Testing and Robust System Design, 2021

System-Level Test: State of the Art and Challenges.
Proceedings of the 27th IEEE International Symposium on On-Line Testing and Robust System Design, 2021

Using Hardware Performance Counters to support infield GPU Testing.
Proceedings of the 28th IEEE International Conference on Electronics, 2021

Innovative methods for Burn-In related Stress Metrics Computation.
Proceedings of the 16th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, 2021

Revealing GPUs Vulnerabilities by Combining Register-Transfer and Software-Level Fault Injection.
Proceedings of the 51st Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2021

Maximizing the Switching Activity of Different Modules Within a Processor Core via Evolutionary Techniques.
Proceedings of the 24th Euromicro Conference on Digital System Design, 2021

On the Functional Test of Special Function Units in GPUs.
Proceedings of the 24th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2021

A Novel Compaction Approach for SBST Test Programs.
Proceedings of the 30th IEEE Asian Test Symposium, 2021

Effective SAT-based Solutions for Generating Functional Sequences Maximizing the Sustained Switching Activity in a Pipelined Processor.
Proceedings of the 30th IEEE Asian Test Symposium, 2021

2020
Guest Editor's Introduction: Special Section on High Dependability Systems.
IEEE Trans. Emerg. Top. Comput., 2020

A Novel Sequence Generation Approach to Diagnose Faults in Reconfigurable Scan Networks.
IEEE Trans. Computers, 2020

An On-Line Testing Technique for the Scheduler Memory of a GPGPU.
IEEE Access, 2020

Special Session: AutoSoC - A Suite of Open-Source Automotive SoC Benchmarks.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020

A dynamic reconfiguration mechanism to increase the reliability of GPGPUs.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020

In-field Functional Test of CAN Bus Controllers.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020

Modular Functional Testing: Targeting the Small Embedded Memories in GPUs.
Proceedings of the VLSI-SoC: Design Trends, 2020

Testing the Divergence Stack Memory on GPGPUs: A Modular in-Field Test Strategy.
Proceedings of the 28th IFIP/IEEE International Conference on Very Large Scale Integration, 2020

Testing Heatsink Faults in Power Transistors by means of Thermal Model.
Proceedings of the IEEE Latin-American Test Symposium, 2020

Evaluating Software-based Hardening Techniques for General-Purpose Registers on a GPGPU.
Proceedings of the IEEE Latin-American Test Symposium, 2020

Evaluating the Code Encryption Effects on Memory Fault Resilience.
Proceedings of the IEEE Latin-American Test Symposium, 2020

New Perspectives on Core In-field Path Delay Test.
Proceedings of the IEEE International Test Conference, 2020

Analyzing the Sensitivity of GPU Pipeline Registers to Single Events Upsets.
Proceedings of the 2020 IEEE Computer Society Annual Symposium on VLSI, 2020

On the testing of special memories in GPGPUs.
Proceedings of the 26th IEEE International Symposium on On-Line Testing and Robust System Design, 2020

Determined-Safe Faults Identification: A step towards ISO26262 hardware compliant designs.
Proceedings of the IEEE European Test Symposium, 2020

Evaluating Data Encryption Effects on the Resilience of an Artificial Neural Network.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2020

A dynamic hardware redundancy mechanism for the in-field fault detection in cores of GPGPUs.
Proceedings of the 23rd International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2020

Applicative System Level Test introduction to Increase Confidence on Screening Quality.
Proceedings of the 23rd International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2020

RESCUE: Interdependent Challenges of Reliability, Security and Quality in Nanoelectronic Systems.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Exploring the Mysteries of System-Level Test.
Proceedings of the 29th IEEE Asian Test Symposium, 2020

2019
An Enhanced Evolutionary Technique for the Generation of Compact Reconfigurable Scan-Network Tests.
J. Circuits Syst. Comput., 2019

Fault Grading Techniques of Software Test Libraries for Safety-Critical Applications.
IEEE Access, 2019

Software-Based Self-Test for Delay Faults.
Proceedings of the VLSI-SoC: New Technology Enabler, 2019

Software-Based Self-Test for Transition Faults: a Case Study.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

On the evaluation of SEU effects in GPGPUs.
Proceedings of the IEEE Latin American Test Symposium, 2019

About Performance Faults in Microprocessor Core in-field Testing.
Proceedings of the 10th IEEE Latin American Symposium on Circuits & Systems, 2019

IEEE European Test Symposium (ETS).
Proceedings of the IEEE International Test Conference, 2019

Test-Plan Optimization for Flying-Probes In-Circuit Testers.
Proceedings of the IEEE International Test Conference in Asia, 2019

Testing permanent faults in pipeline registers of GPGPUs: A multi-kernel approach.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

Untestable faults identification in GPGPUs for safety-critical applications.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

A Dynamic Greedy Test Scheduler for Optimizing Probe Motion in In-Circuit Testers.
Proceedings of the 24th IEEE European Test Symposium, 2019

An extended model to support detailed GPGPU reliability analysis.
Proceedings of the 14th International Conference on Design & Technology of Integrated Systems In Nanoscale Era, 2019

Challenges of Reliability Assessment and Enhancement in Autonomous Systems.
Proceedings of the 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2019

New categories of Safe Faults in a processor-based Embedded System.
Proceedings of the 22nd IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2019

On the in-field test of the GPGPU scheduler memory.
Proceedings of the 22nd IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2019

Effective Screening of Automotive SoCs by Combining Burn-In and System Level Test.
Proceedings of the 22nd IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2019

Assessing the Effectiveness of the Test of Power Devices at the Board Level.
Proceedings of the XXXIV Conference on Design of Circuits and Integrated Systems, 2019

2018
Scan-Chain Intra-Cell Aware Testing.
IEEE Trans. Emerg. Top. Comput., 2018

Test of Reconfigurable Modules in Scan Networks.
IEEE Trans. Computers, 2018

An analysis of test solutions for COTS-based systems in space applications.
Proceedings of the IFIP/IEEE International Conference on Very Large Scale Integration, 2018

Improved Test Solutions for COTS-Based Systems in Space Applications.
Proceedings of the VLSI-SoC: Design and Engineering of Electronics Systems Based on New Computing Paradigms, 2018

About on-line functionally untestable fault identification in microprocessor cores for safety-critical applications.
Proceedings of the 19th IEEE Latin-American Test Symposium, 2018

A New Technique to Generate Test Sequences for Reconfigurable Scan Networks.
Proceedings of the IEEE International Test Conference, 2018

A Semi-Formal Technique to Generate Effective Test Sequences for Reconfigurable Scan Networks.
Proceedings of the IEEE International Test Conference in Asia, 2018

Towards an automatic approach for hardware verification according to ISO 26262 functional safety standard.
Proceedings of the 24th IEEE International Symposium on On-Line Testing And Robust System Design, 2018

Fault-Independent Test-Generation for Software-Based Self-Testing.
Proceedings of the 24th IEEE International Symposium on On-Line Testing And Robust System Design, 2018

About the functional test of the GPGPU scheduler.
Proceedings of the 24th IEEE International Symposium on On-Line Testing And Robust System Design, 2018

On the test of a COTS-based system for space applications.
Proceedings of the 24th IEEE International Symposium on On-Line Testing And Robust System Design, 2018

RESCUE: Cross-Sectoral PhD Training Concept for Interdependent Reliability, Security and Quality.
Proceedings of the 12th European Workshop on Microelectronics Education, 2018

An Evolutionary Technique for Reducing the Duration of Reconfigurable Scan Network Test.
Proceedings of the 21st IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2018

Assessing Test Procedure Effectiveness for Power Devices.
Proceedings of the Conference on Design of Circuits and Integrated Systems, 2018

2017
An Error-Detection and Self-Repairing Method for Dynamically and Partially Reconfigurable Systems.
IEEE Trans. Computers, 2017

New Techniques to Reduce the Execution Time of Functional Test Programs.
IEEE Trans. Computers, 2017

Microprocessor Testing: Functional Meets Structural Test.
J. Circuits Syst. Comput., 2017

A Low-Cost Reliability vs. Cost Trade-Off Methodology to Selectively Harden Logic Circuits.
J. Electron. Test., 2017

A High-Level Approach to Analyze the Effects of Soft Errors on Lossless Compression Algorithms.
J. Electron. Test., 2017

An automatic approach to perform the verification of hardware designs according to the ISO26262 functional safety standard.
Proceedings of the 18th IEEE Latin American Test Symposium, 2017

On the detection of board delay faults through the execution of functional programs.
Proceedings of the 18th IEEE Latin American Test Symposium, 2017

Towards Making Fault Injection on Abstract Models a More Accurate Tool for Predicting RT-Level Effects.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

On the in-field test of embedded memories.
Proceedings of the 23rd IEEE International Symposium on On-Line Testing and Robust System Design, 2017

On the optimization of SBST test program compaction.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2017

BASTION: Board and SoC test instrumentation for ageing and no failure found.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
A Flexible Framework for the Automatic Generation of SBST Programs.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Online Test of Control Flow Errors: A New Debug Interface-Based Approach.
IEEE Trans. Computers, 2016

Observability solutions for in-field functional test of processor-based systems: A survey and quantitative test case evaluation.
Microprocess. Microsystems, 2016

A Fault-Tolerant Ripple-Carry Adder with Controllable-Polarity Transistors.
ACM J. Emerg. Technol. Comput. Syst., 2016

A Hybrid Fault-Tolerant Architecture for Highly Reliable Processing Cores.
J. Electron. Test., 2016

Identification and Rejuvenation of NBTI-Critical Logic Paths in Nanoscale Circuits.
J. Electron. Test., 2016

Effective generation and evaluation of diagnostic SBST programs.
Proceedings of the 34th IEEE VLSI Test Symposium, 2016

Hybrid soft error mitigation techniques for COTS processor-based systems.
Proceedings of the 17th Latin-American Test Symposium, 2016

Analysis of the effects of soft errors on compression algorithms through fault injection inside program variables.
Proceedings of the 17th Latin-American Test Symposium, 2016

A suite of IEEE 1687 benchmark networks.
Proceedings of the 2016 IEEE International Test Conference, 2016

Improving the Functional Test Delay Fault Coverage: A Microprocessor Case Study.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

Automatic generation of stimuli for fault diagnosis in IEEE 1687 networks.
Proceedings of the 22nd IEEE International Symposium on On-Line Testing and Robust System Design, 2016

On the robustness of DCT-based compression algorithms for space applications.
Proceedings of the 22nd IEEE International Symposium on On-Line Testing and Robust System Design, 2016

A low-cost susceptibility analysis methodology to selectively harden logic circuits.
Proceedings of the 21th IEEE European Test Symposium, 2016

On the diagnostic analysis of IEEE 1687 networks.
Proceedings of the 21th IEEE European Test Symposium, 2016

An effective approach for functional test programs compaction.
Proceedings of the 2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2016

FPGA-controlled PCBA power-on self-test using processor's debug features.
Proceedings of the 2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2016

Rejuvenation of NBTI-Impacted Processors Using Evolutionary Generation of Assembler Programs.
Proceedings of the 25th IEEE Asian Test Symposium, 2016

Test Time Minimization in Reconfigurable Scan Networks.
Proceedings of the 25th IEEE Asian Test Symposium, 2016

2015
On the Functional Test of Branch Prediction Units.
IEEE Trans. Very Large Scale Integr. Syst., 2015

In-field test of safety-critical systems: is functional test a feasible solution?
Proceedings of the 16th Latin-American Test Symposium, 2015

Rejuvenation of nanoscale logic at NBTI-critical paths using evolutionary TPG.
Proceedings of the 16th Latin-American Test Symposium, 2015

Permanent fault detection and diagnosis in the lightweight dual modular redundancy architecture.
Proceedings of the 16th Latin-American Test Symposium, 2015

SW-based transparent in-field memory testing.
Proceedings of the 16th Latin-American Test Symposium, 2015

On the functional test of the cache coherency logic in multi-core systems.
Proceedings of the IEEE 6th Latin American Symposium on Circuits & Systems, 2015

On the Design of a Fault Tolerant Ripple-Carry Adder with Controllable-Polarity Transistors.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

Design space exploration and optimization of a Hybrid Fault-Tolerant Architecture.
Proceedings of the 21st IEEE International On-Line Testing Symposium, 2015

On the maximization of the sustained switching activity in a processor.
Proceedings of the 21st IEEE International On-Line Testing Symposium, 2015

On test program compaction.
Proceedings of the 20th IEEE European Test Symposium, 2015

Scan-chain intra-cell defects grading.
Proceedings of the 10th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, 2015

An effective ATPG flow for Gate Delay Faults.
Proceedings of the 10th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, 2015

Exploring the impact of functional test programs re-used for power-aware testing.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

On the automatic generation of SBST test programs for in-field test.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

On the testability of IEEE 1687 networks.
Proceedings of the 24th IEEE Asian Test Symposium, 2015

2014
On the Automatic Generation of Optimized Software-Based Self-Test Programs for VLIW Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2014

MIHST: A Hardware Technique for Embedded Microprocessor Functional On-Line Self-Test.
IEEE Trans. Computers, 2014

Evaluating the radiation sensitivity of GPGPU caches: New algorithms and experimental results.
Microelectron. Reliab., 2014

Selected Peer-Reviewed Articles from the 14th IEEE Latin-American Test Workshop, Cordoba, Argentina, April 3-5, 2013.
J. Low Power Electron., 2014

Increasing the Fault Coverage of Processor Devices during the Operational Phase Functional Test.
J. Electron. Test., 2014

A Functional Approach for Testing the Reorder Buffer Memory.
J. Electron. Test., 2014

Special session 8B - Panel: In-field testing of SoC devices: Which solutions by which players?
Proceedings of the 32nd IEEE VLSI Test Symposium, 2014

Soft error effects analysis and mitigation in VLIW safety-critical applications.
Proceedings of the 22nd International Conference on Very Large Scale Integration, 2014

Reducing SEU sensitivity in LIN networks: Selective and collaborative hardening techniques.
Proceedings of the 15th Latin American Test Workshop, 2014

Permanent faults on LIN networks: On-line test generation.
Proceedings of the 2014 IEEE 20th International On-Line Testing Symposium, 2014

A new solution to on-line detection of Control Flow Errors.
Proceedings of the 2014 IEEE 20th International On-Line Testing Symposium, 2014

Fault injection in GPGPU cores to validate and debug robust parallel applications.
Proceedings of the 2014 IEEE 20th International On-Line Testing Symposium, 2014

Early reliability evaluation of a biomédical system.
Proceedings of the 9th International Design and Test Symposium, 2014

On the in-field functional testing of decode units in pipelined RISC processors.
Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2014

On the in-field test of Branch Prediction Units using the correlated predictor mechanism.
Proceedings of the 17th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2014

An effective approach to automatic functional processor test generation for small-delay faults.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

GPGPUs: How to combine high computational power with high reliability.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

High Quality System Level Test and Diagnosis.
Proceedings of the 23rd IEEE Asian Test Symposium, 2014

2013
Fast Power Evaluation for Effective Generation of Test Programs Maximizing Peak Power Consumption.
J. Low Power Electron., 2013

Partition-Based Faults Diagnosis of a VLIW Processor.
Proceedings of the VLSI-SoC: At the Crossroads of Emerging Trends, 2013

On the development of diagnostic test programs for VLIW processors.
Proceedings of the 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, 2013

On the Functional Test of the Register Forwarding and Pipeline Interlocking Unit in Pipelined Processors.
Proceedings of the 14th International Workshop on Microprocessor Test and Verification, 2013

On the functional test of the BTB logic in pipelined and superscalar processors.
Proceedings of the 14th Latin American Test Workshop, 2013

Hardening of serial communication protocols for potentially critical systems in automotive applications: LIN bus.
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013

Exploiting the debug interface to support on-line test of control flow errors.
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013

Increasing fault coverage during functional test in the operational phase.
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013

On the evaluation of soft-errors detection techniques for GPGPUs.
Proceedings of the 8th International Design and Test Symposium, 2013

Validation and robustness assessment of an automotive system.
Proceedings of the 8th International Design and Test Symposium, 2013

A functional test algorithm for the register forwarding and pipeline interlocking unit in pipelined microprocessors.
Proceedings of the 8th International Design and Test Symposium, 2013

On the on-line functional test of the Reorder Buffer memory in superscalar processors.
Proceedings of the 16th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2013

On-line functionally untestable fault identification in embedded processor cores.
Proceedings of the Design, Automation and Test in Europe, 2013

Reliability analysis reloaded: how will we survive?
Proceedings of the Design, Automation and Test in Europe, 2013

An Efficient Method for the Test of Embedded Memory Cores during the Operational Phase.
Proceedings of the 22nd Asian Test Symposium, 2013

2012
On the use of embedded debug features for permanent and transient fault resilience in microprocessors.
Microprocess. Microsystems, 2012

Software-Based Testing for System Peripherals.
J. Electron. Test., 2012

Verifying Reliability (Dagstuhl Seminar 12341).
Dagstuhl Reports, 2012

On the Automatic Generation of Software-Based Self-Test Programs for Functional Test and Diagnosis of VLIW Processors.
Proceedings of the VLSI-SoC: From Algorithms to Circuits and System-on-Chip Design, 2012

On the optimized generation of Software-Based Self-Test programs for VLIW processors.
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012

A New Fault Injection Approach for Testing Network-on-Chips.
Proceedings of the 20th Euromicro International Conference on Parallel, 2012

On the functional test of L2 caches.
Proceedings of the 18th IEEE International On-Line Testing Symposium, 2012

On-line software-based self-test of the Address Calculation Unit in RISC processors.
Proceedings of the 17th IEEE European Test Symposium, 2012

On the development of Software-Based Self-Test methods for VLIW processors.
Proceedings of the 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2012

High-reliability fault tolerant digital systems in nanometric technologies: Characterization and design methodologies.
Proceedings of the 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2012

On-line test of embedded systems: Which role for functional test?
Proceedings of the IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2012

A SBST strategy to test microprocessors' Branch Target Buffer.
Proceedings of the IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2012

A new SBST algorithm for testing the register file of VLIW processors.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Peak Power Estimation: A Case Study on CPU Cores.
Proceedings of the 21st IEEE Asian Test Symposium, 2012

2011
A Low-Cost Solution for Deploying Processor Cores in Harsh Environments.
IEEE Trans. Ind. Electron., 2011

Functional Verification of DMA Controllers.
J. Electron. Test., 2011

A Parallel Tester Architecture for Accelerometer and Gyroscope MEMS Calibration and Test.
J. Electron. Test., 2011

On the Functional Test of Branch Prediction Units Based on the Branch History Table Architecture.
Proceedings of the VLSI-SoC: Advanced Research for Systems on Chip, 2011

On the functional test of Branch Prediction Units based on Branch History Table.
Proceedings of the IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011

Functional test generation for the pLRU replacement mechanism of embedded cache memories.
Proceedings of the 12th Latin American Test Workshop, 2011

On the functional test of MESI controllers.
Proceedings of the 12th Latin American Test Workshop, 2011

An effective methodology for on-line testing of embedded microprocessors.
Proceedings of the 17th IEEE International On-Line Testing Symposium (IOLTS 2011), 2011

A Functional Power Evaluation Flow for Defining Test Power Limits during At-Speed Delay Testing.
Proceedings of the 16th European Test Symposium, 2011

A Low-Cost Emulation System for Fast Co-verification and Debug.
Proceedings of the 16th European Test Symposium, 2011

An FPGA-Emulation-Based Platform for Characterization of Digital Baseband Communication Systems.
Proceedings of the 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2011

On the Modeling of Gate Delay Faults by Means of Transition Delay Faults.
Proceedings of the 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2011

Fault injection analysis of transient faults in clustered VLIW processors.
Proceedings of the 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2011

Optimized embedded memory diagnosis.
Proceedings of the 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2011

A New Architecture to Cross-Fertilize On-Line and Manufacturing Testing.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

2010
A Hybrid Approach for Detection and Correction of Transient Faults in SoCs.
IEEE Trans. Dependable Secur. Comput., 2010

Exploiting an infrastructure-intellectual property for systems-on-chip test, diagnosis and silicon debug.
IET Comput. Digit. Tech., 2010

Microprocessor Software-Based Self-Testing.
IEEE Des. Test Comput., 2010

Generating power-hungry test programs for power-aware validation of pipelined processors.
Proceedings of the 23rd Annual Symposium on Integrated Circuits and Systems Design, 2010

An Enhanced Strategy for Functional Stress Pattern Generation for System-on-Chip Reliability Characterization.
Proceedings of the 11th International Workshop on Microprocessor Test and Verification, 2010

Functional test generation for DMA controllers.
Proceedings of the 11th Latin American Test Workshop, 2010

A tester architecture suitable for MEMS calibration and testing.
Proceedings of the 2011 IEEE International Test Conference, 2010

A programmable BIST for DRAM testing and diagnosis.
Proceedings of the 2011 IEEE International Test Conference, 2010

A novel scalable and reconfigurable emulation platform for embedded systems verification.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Analysis of root causes of alpha sensitivity variations on microprocessors manufactured using different cell layouts.
Proceedings of the 16th IEEE International On-Line Testing Symposium (IOLTS 2010), 2010

An on-line fault detection technique based on embedded debug features.
Proceedings of the 16th IEEE International On-Line Testing Symposium (IOLTS 2010), 2010

A software-based self-test methodology for system peripherals.
Proceedings of the 15th European Test Symposium, 2010

An adaptive tester architecture for volume diagnosis.
Proceedings of the 15th European Test Symposium, 2010

An Exact and Efficient Critical Path Tracing Algorithm.
Proceedings of the Fifth IEEE International Symposium on Electronic Design, 2010

A hardware accelerated framework for the generation of design validation programs for SMT processors.
Proceedings of the 13th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2010

Cumulative embedded memory failure bitmap display & analysis.
Proceedings of the 13th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2010

2009
Effective Diagnostic Pattern Generation Strategy for Transition-Delay Faults in Full-Scan SOCs.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Test Program Generation for Communication Peripherals in Processor-Based SoC Devices.
IEEE Des. Test Comput., 2009

DfT Reuse for Low-Cost Radiation Testing of SoCs: A Case Study.
Proceedings of the 27th IEEE VLSI Test Symposium, 2009

Design validation of multithreaded architectures using concurrent threads evolution.
Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, 2009

Recovery scheme for hardening system on programmable chips.
Proceedings of the 10th Latin American Test Workshop, 2009

Evaluating Alpha-induced soft errors in embedded microprocessors.
Proceedings of the 15th IEEE International On-Line Testing Symposium (IOLTS 2009), 2009

Exploiting embedded FPGA in on-line software-based test strategies for microprocessor cores.
Proceedings of the 15th IEEE International On-Line Testing Symposium (IOLTS 2009), 2009

Automatic Functional Stress Pattern Generation for SoC Reliability Characterization.
Proceedings of the 14th IEEE European Test Symposium, 2009

An On-board Data-Handling Computer for Deep-Space Exploration Built Using Commercial-Off-the-Shelf SRAM-Based FPGAs.
Proceedings of the 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2009

An enhanced FPGA-based low-cost tester platform exploiting effective test data compression for SoCs.
Proceedings of the 2009 IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2009

An efficient fault simulation technique for transition faults in non-scan sequential circuits.
Proceedings of the 2009 IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2009

A low-cost SEE mitigation solution for soft-processors embedded in Systems on Pogrammable Chips.
Proceedings of the Design, Automation and Test in Europe, 2009

On the Generation of Functional Test Programs for the Cache Replacement Logic.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

2008
An Effective Technique for the Automatic Generation of Diagnosis-Oriented Programs for Processor Cores.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Hardware and Software Transparency in the Protection of Programs Against SEUs and SETs.
J. Electron. Test., 2008

A Novel SBST Generation Technique for Path-Delay Faults in Microprocessors Exploiting Gate- and RT-Level Descriptions.
Proceedings of the 26th IEEE VLSI Test Symposium (VTS 2008), April 27, 2008

A Deterministic Methodology for Identifying Functionally Untestable Path-Delay Faults in Microprocessor Cores.
Proceedings of the Ninth International Workshop on Microprocessor Test and Verification, 2008

A Hybrid Approach to the Test of Cache Memory Controllers Embedded in SoCs.
Proceedings of the 14th IEEE International On-Line Testing Symposium (IOLTS 2008), 2008

Exploiting MOEA to Automatically Geneate Test Programs for Path-Delay Faults in Microprocessors.
Proceedings of the Applications of Evolutionary Computing, 2008

Software-Based Self-Test Strategy for Data Cache Memories Embedded in SoCs.
Proceedings of the 11th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2008), 2008

An novel Methodology for Reducing SoC Test Data Volume on FPGA-based Testers.
Proceedings of the Design, Automation and Test in Europe, 2008

2007
Evaluating Different Solutions to Design Fault Tolerant Systems with SRAM-based FPGAs.
J. Electron. Test., 2007

A System-layer Infrastructure for SoC Diagnosis.
J. Electron. Test., 2007

A software-based methodology for the generation of peripheral test sets based on high-level descriptions.
Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, 2007

An optimized hybrid approach to provide fault detection and correction in SoCs.
Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, 2007

On Automatic Test Block Generation for Peripheral Testing in SoCs via Dynamic FSMs Extraction.
Proceedings of the Eighth International Workshop on Microprocessor Test and Verification (MTV 2007), 2007

Automotive Microcontroller End-of-Line Test via Software-Based Methodologies.
Proceedings of the Eighth International Workshop on Microprocessor Test and Verification (MTV 2007), 2007

Self Checking Circuit Optimization by means of Fault Injection Analysis: A Case Study on Reed Solomon Decoders.
Proceedings of the 13th IEEE International On-Line Testing Symposium (IOLTS 2007), 2007

An Automated Methodology for Cogeneration of Test Blocks for Peripheral Cores.
Proceedings of the 13th IEEE International On-Line Testing Symposium (IOLTS 2007), 2007

A Hybrid Approach to Fault Detection and Correction in SoCs.
Proceedings of the 13th IEEE International On-Line Testing Symposium (IOLTS 2007), 2007

Hardware-accelerated path-delay fault grading of functional test programs for processor-based systems.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

On the Automatic Generation of Test Programs for Path-Delay Faults in Microprocessor Cores.
Proceedings of the 12th European Test Symposium, 2007

Optimization of Self Checking FIR filters by means of Fault Injection Analysis.
Proceedings of the 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), 2007

An Effective Approach for the Diagnosis of Transition-Delay Faults in SoCs, based on SBST and Scan Chains.
Proceedings of the 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), 2007

Safety Evaluation of NanoFabrics.
Proceedings of the 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), 2007

Extended Fault Detection Techniques for Systems-on-Chip.
Proceedings of the 10th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2007), 2007

Interactive presentation: An enhanced technique for the automatic generation of effective diagnosis-oriented test programs for processor.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2006
A New Hybrid Fault Detection Technique for Systems-on-a-Chip.
IEEE Trans. Computers, 2006

Efficient Techniques for Automatic Verification-Oriented Test Set Optimization.
Int. J. Parallel Program., 2006

System-in-Package Testing: Problems and Solutions.
IEEE Des. Test Comput., 2006

Early, Accurate Dependability Analysis of CAN-Based Networked Systems.
IEEE Des. Test Comput., 2006

A Pattern Ordering Algorithm for Reducing the Size of Fault Dictionaries.
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006

On the Automation of the Test Flow of Complex SoCs.
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006

Software-Based On-Line Test of Communication Peripherals in Processor-Based Systems for Automotive Applications.
Proceedings of the Seventh International Workshop on Microprocessor Test and Verification (MTV 2006), 2006

A New Approach to Cope with Single Event Upsets in Processor-based Systems.
Proceedings of the 7th Latin American Test Workshop, 2006

A Fault Injection Environment for SoPC's Embedded Microprocessors.
Proceedings of the 7th Latin American Test Workshop, 2006

Embedded Memory Diagnosis: An Industrial Workflow.
Proceedings of the 2006 IEEE International Test Conference, 2006

Hardware-in-the-Loop-Based Dependability Analysis of Automotive Systems.
Proceedings of the 12th IEEE International On-Line Testing Symposium (IOLTS 2006), 2006

Fault Injection-based Reliability Evaluation of SoPCs.
Proceedings of the 11th European Test Symposium, 2006

Online hardening of programs against SEUs and SETs.
Proceedings of the 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 2006

An effective technique for minimizing the cost of processor software-based diagnosis in SoCs.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

An Evolutionary Methodology to Enhance Processor Software-Based Diagnosis.
Proceedings of the IEEE International Conference on Evolutionary Computation, 2006

Software-Implemented Hardware Fault Tolerance.
Springer, ISBN: 978-0-387-26060-0, 2006

2005
Automatic generation of test sets for SBST of microprocessor IP cores.
Proceedings of the 18th Annual Symposium on Integrated Circuits and Systems Design, 2005

Diagnosing Faulty Functional Units in Processors by Using Automatically Generated Test Sets.
Proceedings of the Sixth International Workshop on Microprocessor Test and Verification (MTV 2005), 2005

Exploiting an I-IP for both Test and Silicon Debug of Microprocessor Cores.
Proceedings of the Sixth International Workshop on Microprocessor Test and Verification (MTV 2005), 2005

Efficient Estimation of SEU Effects in SRAM-Based FPGAs.
Proceedings of the 11th IEEE International On-Line Testing Symposium (IOLTS 2005), 2005

Integrating BIST Techniques for On-Line SoC Testing.
Proceedings of the 11th IEEE International On-Line Testing Symposium (IOLTS 2005), 2005

New evolutionary techniques for test-program generation for complex microprocessor cores.
Proceedings of the Genetic and Evolutionary Computation Conference, 2005

Automatic Completion and Refinement of Verification Sets for Microprocessor Cores.
Proceedings of the Applications of Evolutionary Computing, 2005

Multiple errors produced by single upsets in FPGA configuration memory: a possible solution.
Proceedings of the 10th European Test Symposium, 2005

Exploiting an infrastructure IP to reduce memory diagnosis costs in SoCs.
Proceedings of the 10th European Test Symposium, 2005

On-Line Detection of Control-Flow Errors in SoCs by Means of an Infrastructure IP Core.
Proceedings of the 2005 International Conference on Dependable Systems and Networks (DSN 2005), 28 June, 2005

On the Transformation of Manufacturing Test Sets into On-Line Test Sets for Microprocessors.
Proceedings of the 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 2005

An Integrated Approach for Increasing the Soft-Error Detection Capabilities in SoCs processors.
Proceedings of the 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 2005

On the Optimal Design of Triple Modular Redundancy Logic for SRAM-based FPGAs.
Proceedings of the 2005 Design, 2005

2004
Efficient analysis of single event transients.
J. Syst. Archit., 2004

Evolutionary Simulation-Based Validation.
Int. J. Artif. Intell. Tools, 2004

A New Approach to the Analysis of Single Event Transients in VLSI Circuits.
J. Electron. Test., 2004

A New Approach to Software-Implemented Fault Tolerance.
J. Electron. Test., 2004

Code Generation for Functional Validation of Pipelined Microprocessors.
J. Electron. Test., 2004

A BIST-based Solution for the Diagnosis of Embedded Memories Adopting Image Processing Techniques.
J. Electron. Test., 2004

Automatic Test Program Generation: A Case Study.
IEEE Des. Test Comput., 2004

A multi-level approach to the dependability analysis of networked systems based on the CAN protocol.
Proceedings of the 17th Annual Symposium on Integrated Circuits and Systems Design, 2004

Automatic Test Programs Generation Driven by Internal Performance Counters.
Proceedings of the Fifth International Workshop on Microprocessor Test and Verification (MTV 2004), 2004

Using Infrastructure IPs to Support SW-Based Self-Test of Processor Cores.
Proceedings of the Fifth International Workshop on Microprocessor Test and Verification (MTV 2004), 2004

Evaluating the Effects of Transient Faults on Vehicle Dynamic Performance in Automotive Systems.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

Hybrid Soft Error Detection by Means of Infrastructure IP Cores.
Proceedings of the 10th IEEE International On-Line Testing Symposium (IOLTS 2004), 2004

On the Evaluation of SEU Sensitiveness in SRAM-Based FPGAs.
Proceedings of the 10th IEEE International On-Line Testing Symposium (IOLTS 2004), 2004

Validation of the dependability of CAN-based networked systems.
Proceedings of the Ninth IEEE International High-Level Design Validation and Test Workshop 2004, 2004

On-Line Analysis and Perturbation of CAN Networks.
Proceedings of the 19th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2004), 2004

Exploiting an I-IP for In-Field SOC Test.
Proceedings of the 19th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2004), 2004

Coupling Different Methodologies to Validate Obsolete Microprocessors.
Proceedings of the 19th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2004), 2004

Automatic Generation of Validation Stimuli for Application-Specific Processors.
Proceedings of the 2004 Design, 2004

Testing Logic Cores using a BIST P1500 Compliant Approach: A Case of Study.
Proceedings of the 2004 Design, 2004

Evaluating the Effects of SEUs Affecting the Configuration Memory of an SRAM-Based FPGA.
Proceedings of the 2004 Design, 2004

2003
New techniques for efficiently assessing reliability of SOCs.
Microelectron. J., 2003

Accurate Analysis of Single Event Upsets in a Pipelined Microprocessor.
J. Electron. Test., 2003

Guest Editorial.
J. Electron. Test., 2003

Accurate Dependability Analysis of CAN-Based Networked Systems.
Proceedings of the 16th Annual Symposium on Integrated Circuits and Systems Design, 2003

Automatic Test Program Generation for Pipeline Processors.
Proceedings of the 2003 ACM Symposium on Applied Computing (SAC), 2003

Exploiting Programmable BIST For The Diagnosis of Embedded Memory Cores.
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003

Analyzing SEU Effects in SRAM-based FPGAs.
Proceedings of the 9th IEEE International On-Line Testing Symposium (IOLTS 2003), 2003

Introducing SW-Based Fault Handling Mechanisms to Cope with EMI in Embedded Electronics: Are They A Good Remedy?
Proceedings of the 9th IEEE International On-Line Testing Symposium (IOLTS 2003), 2003

Accurate and Efficient Analysis of Single Event Transients in VLSI Circuits.
Proceedings of the 9th IEEE International On-Line Testing Symposium (IOLTS 2003), 2003

An RT-level Concurrent Error Detection Technique for Data Dominated Systems.
Proceedings of the 9th IEEE International On-Line Testing Symposium (IOLTS 2003), 2003

High-level test generation for hardware testing and software validation.
Proceedings of the Eighth IEEE International High-Level Design Validation and Test Workshop 2003, 2003

Emulation-Based Analysis of Soft Errors in Deep Sub-micron Circuits.
Proceedings of the Field Programmable Logic and Application, 13th International Conference, 2003

Code generation for functional validation of pipelined microprocessors.
Proceedings of the 8th European Test Workshop, 2003

An efficient algorithm for the extraction of compressed diagnostic information from embedded memory cores.
Proceedings of 9th IEEE International Conference on Emerging Technologies and Factory Automation, 2003

Dependability Analysis of CAN Networks: An Emulation-Based Approach.
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003

Soft-Error Detection Using Control Flow Assertions.
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003

Detailed Comparison of Dependability Analyses Performed at RT and Gate Levels.
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003

An Accurate Analysis of the Effects of Soft Errors in the Instruction and Data Caches of a Pipelined Microprocessor.
Proceedings of the 2003 Design, 2003

Fully Automatic Test Program Generation for Microprocessor Cores.
Proceedings of the 2003 Design, 2003

A P1500-Compatible Programmable BIST Approach for the Test of Embedded Flash Memories.
Proceedings of the 2003 Design, 2003

2002
Initializability analysis of synchronous sequential circuits.
ACM Trans. Design Autom. Electr. Syst., 2002

An FPGA-Based Approach for Speeding-Up Fault Injection Campaigns on Safety-Critical Circuits.
J. Electron. Test., 2002

An Industrial Environment for High-Level Fault-Tolerant Structures Insertion and Validation.
Proceedings of the 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April, 2002

A Software Fault Tolerance Method for Safety-Critical Systems: Effectiveness and Drawbacks.
Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, 2002

Reducing Test Application Time through Interleaved Scan.
Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, 2002

An evolutionary algorithm for reducing integrated-circuit test application time.
Proceedings of the 2002 ACM Symposium on Applied Computing (SAC), 2002

A BIST-Based Solution for the Diagnosis of Embedded Memories Adopting Image Processing Techniques.
Proceedings of the 10th IEEE International Workshop on Memory Technology, 2002

An Overview Covering the Different Solutions: from radiation testing to Software Fault Injection.
Proceedings of the 3rd Latin American Test Workshop, 2002

Automatic Test Program Generation from RT-Level Microprocessor Descriptions.
Proceedings of the 3rd International Symposium on Quality of Electronic Design, 2002

Analysis of SEU Effects in a Pipelined Processor.
Proceedings of the 8th IEEE International On-Line Testing Workshop (IOLTW 2002), 2002

Analysis of the Equivalences and Dominances of Transient Faults at the RT Level.
Proceedings of the 8th IEEE International On-Line Testing Workshop (IOLTW 2002), 2002

A hierarchical approach for designing dependable systems.
Proceedings of the Seventh IEEE International High-Level Design Validation and Test Workshop 2002, 2002

High-level and hierarchical test sequence generation.
Proceedings of the Seventh IEEE International High-Level Design Validation and Test Workshop 2002, 2002

Simulation-Based Analysis of SEU Effects on SRAM-based FPGAs.
Proceedings of the Field-Programmable Logic and Applications, 2002

Evolutionary Techniques for Minimizing Test Signals Application Time.
Proceedings of the Applications of Evolutionary Computing, 2002

Fault List Compaction through Static Timing Analysis for Efficient Fault Injection Experiments.
Proceedings of the 17th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2002), 2002

A New Functional Fault Model for FPGA Application-Oriented Testing.
Proceedings of the 17th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2002), 2002

New Techniques for Speeding-Up Fault-Injection Campaigns.
Proceedings of the 2002 Design, 2002

Efficient machine-code test-program induction.
Proceedings of the 2002 Congress on Evolutionary Computation, 2002

Evolutionary Test Program Induction for Microprocessor Design Verification.
Proceedings of the 11th Asian Test Symposium (ATS 2002), 18-20 November 2002, Guam, USA, 2002

2001
Early Evaluation Of Bus Interconnects Dependability For System-On-Chip Designs.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

A Source-to-Source Compiler for Generating Dependable Software.
Proceedings of the 1st IEEE International Workshop on Source Code Analysis and Manipulation (SCAM 2001), 2001

Evolving effective CA/CSTP: BIST architectures for sequential circuits.
Proceedings of the 2001 ACM Symposium on Applied Computing (SAC), 2001

Effectiveness and Limitations of Various Software Techniques for "Soft Error" Detection: A Comparative Study.
Proceedings of the 7th IEEE International On-Line Testing Workshop (IOLTW 2001), 2001

Exploiting FPGA for Accelerating Fault Injection Experiments.
Proceedings of the 7th IEEE International On-Line Testing Workshop (IOLTW 2001), 2001

FPGA-Based Fault Injection Techniques for Fast Evaluation of Fault Tolerance in VLSI Circuits.
Proceedings of the Field-Programmable Logic and Applications, 2001

ARPIA: A High-Level Evolutionary Test Signal Generator.
Proceedings of the Applications of Evolutionary Computing, 2001

Exploiting FPGA-Based Techniques for Fault Injection Campaigns on VLSI Circuits .
Proceedings of the 16th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2001), 2001

On the test of microprocessor IP cores.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001

System safety through automatic high-level code transformations: an experimental evaluation.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001

Effective Techniques for High-Level ATPG.
Proceedings of the 10th Asian Test Symposium (ATS 2001), 19-21 November 2001, Kyoto, Japan, 2001

FPGA-Based Fault Injection for Microprocessor Systems.
Proceedings of the 10th Asian Test Symposium (ATS 2001), 19-21 November 2001, Kyoto, Japan, 2001

A P1500 Compliant BIST-Based Approach to Embedded RAM Diagnosis.
Proceedings of the 10th Asian Test Symposium (ATS 2001), 19-21 November 2001, Kyoto, Japan, 2001

2000
RT-Level ITC'99 Benchmarks and First ATPG Results.
IEEE Des. Test Comput., 2000

High-Level Observability for Effective High-Level ATPG.
Proceedings of the 18th IEEE VLSI Test Symposium (VTS 2000), 30 April, 2000

Low Power BIST via Non-Linear Hybrid Cellular Automata.
Proceedings of the 18th IEEE VLSI Test Symposium (VTS 2000), 30 April, 2000

Speeding-Up Fault Injection Campaigns in VHDL Models.
Proceedings of the Computer Safety, 2000

Early Power Estimation for System-on-Chip Designs.
Proceedings of the Integrated Circuit Design, 2000

Hardening the Software with Respect to Transient Errors: a Method and Experimental Results.
Proceedings of the 1st Latin American Test Workshop, 2000

An improved cellular automata-based BIST architecture for sequential circuits.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

Evaluating the Effectiveness of a Software Fault-Tolerance Technique on RISC- and CISC-Based Architectures.
Proceedings of the 6th IEEE International On-Line Testing Workshop (IOLTW 2000), 2000

New Techniques for Accelerating Fault Injection in VHDL Descriptions.
Proceedings of the 6th IEEE International On-Line Testing Workshop (IOLTW 2000), 2000

Exploiting the Selfish Gene Algorithm for Evolving Cellular Automata.
Proceedings of the IEEE-INNS-ENNS International Joint Conference on Neural Networks, 2000

A genetic algorithm-based system for generating test programs for microprocessor IP cores.
Proceedings of the 12th IEEE International Conference on Tools with Artificial Intelligence (ICTAI 2000), 2000

Evolving Cellular Automata for Self-Testing Hardware.
Proceedings of the Evolvable Systems: From Biology to Hardware, 2000

Behavioral-level test vector generation for system-on-chip designs.
Proceedings of the IEEE International High-Level Design Validation and Test Workshop 2000, 2000

An RT-level fault model with high gate level correlation.
Proceedings of the IEEE International High-Level Design Validation and Test Workshop 2000, 2000

Automatic Validation of Protocol Interfaces Described in VHDL.
Proceedings of the Real-World Applications of Evolutionary Computing, 2000

Prediction of Power Requirements for High-Speed Circuits.
Proceedings of the Real-World Applications of Evolutionary Computing, 2000

System-level test bench generation in a co-design framework.
Proceedings of the 5th European Test Workshop, 2000

CA-CSTP: a new BIST architecture for sequential circuits.
Proceedings of the 5th European Test Workshop, 2000

An Experimental Evaluation of the Effectiveness of Automatic Rule-Based Transformations for Safety-Critical Applications.
Proceedings of the 15th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2000), 2000

Evaluating System Dependability in a Co-Design Framework.
Proceedings of the 2000 Design, 2000

Automatic Test Bench Generation for Validation of RT-Level Descriptions: An Industrial Experience.
Proceedings of the 2000 Design, 2000

Automatic test bench generation for simulation-based validation.
Proceedings of the Eighth International Workshop on Hardware/Software Codesign, 2000

Exploiting the Selfish Gene algorithm for evolving hardware cellular automata.
Proceedings of the 2000 Congress on Evolutionary Computation, 2000

1999
SymFony: a hybrid topological-symbolic ATPG exploiting RT-level information.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

Fault Injection for Embedded Microprocessor-based Systems.
J. Univers. Comput. Sci., 1999

Evaluating the Fault Tolerance Capabilities of Embedded Systems via BDM .
Proceedings of the 17th IEEE VLSI Test Symposium (VTS '99), 1999

FlexFi: A Flexible Fault Injection Environment for Microprocessor-Based Systems.
Proceedings of the Computer Safety, 1999

High-level ATPG: a real topic or an academic amusement?
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999

Simulation-based sequential equivalence checking of RTL VHDL.
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999

ALPS: A Peak Power Estimation Tool for Sequential Circuits.
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999

Approximate Equivalence Verification for Protocol Interface Implementation via Genetic Algorithms.
Proceedings of the Evolutionary Image Analysis, 1999

Test Pattern Generation Under Low Power Constraints.
Proceedings of the Evolutionary Image Analysis, 1999

A new BIST architecture for low power circuits.
Proceedings of the 4th European Test Workshop, 1999

Soft-Error Detection through Software Fault-Tolerance Techniques.
Proceedings of the 14th International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT '99), 1999

Optimal Vector Selection for Low Power BIST.
Proceedings of the 14th International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT '99), 1999

Approximate Equivalence Verification of Sequential Circuits via Genetic Algorithms.
Proceedings of the 1999 Design, 1999

Optimizing deceptive functions with the SG-Clans algorithm.
Proceedings of the 1999 Congress on Evolutionary Computation, 1999

Verifying the equivalence of sequential circuits with genetic algorithms.
Proceedings of the 1999 Congress on Evolutionary Computation, 1999

1998
EXFI: a low-cost fault injection system for embedded microprocessor-based boards.
ACM Trans. Design Autom. Electr. Syst., 1998

The General Product Machine: a New Model for Symbolic FSM Traversal.
Formal Methods Syst. Des., 1998

Integrating Online and Offline Testing of a Switching Memory.
IEEE Des. Test Comput., 1998

The training environment for the course on microprocessor systems at the Politecnico di Torino.
Proceedings of the 1998 workshop on Computer architecture education, 1998

A Test Pattern Generation Methodology for Low-Power Consumption.
Proceedings of the 16th IEEE VLSI Test Symposium (VTS '98), 28 April, 1998

On the Identification of Optimal Cellular Automata for Built-In Self-Test of Sequential Circuits.
Proceedings of the 16th IEEE VLSI Test Symposium (VTS '98), 28 April, 1998

The selfish gene algorithm: a new evolutionary optimization strategy.
Proceedings of the 1998 ACM symposium on Applied Computing, 1998

A fault injection environment for microprocessor-based boards.
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998

VEGA: a verification tool based on genetic algorithms.
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998

Enhancing topological ATPG with high-level information and symbolic techniques.
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998

A System for Evaluating On-Line Testability at the RT-level.
Proceedings of the 13th International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT '98), 1998

An Integrated HW and SW Fault Injection Environment for Real-Time Systems.
Proceedings of the 13th International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT '98), 1998

Fast Sequential Circuit Test Generation Using High-Level and Gate-Level Techniques.
Proceedings of the 1998 Design, 1998

Exploiting Symbolic Techniques for Partial Scan Flip Flop Selection.
Proceedings of the 1998 Design, 1998

1997
Cellular automata for deterministic sequential test pattern generation.
Proceedings of the 15th IEEE VLSI Test Symposium (VTS'97), 1997

SAARA: a simulated annealing algorithm for test pattern generation for digital circuits.
Proceedings of the 1997 ACM symposium on Applied Computing, 1997

Testability Analysis and ATPG on Behavioral RT-Level VHDL.
Proceedings of the Proceedings IEEE International Test Conference 1997, 1997

Exploiting Symbolic Techniques within Genetic Algorithms for Power Optimization.
Proceedings of the 9th International Conference on Tools with Artificial Intelligence, 1997

A new Approach for Initialization Sequences Computation for Synchronous Sequential Circuits.
Proceedings of the Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, 1997

Boolean Function Manipulation on a Parallel System Using BDDs.
Proceedings of the High-Performance Computing and Networking, 1997

Exploiting High-Level Descriptions for Circuits Fault Tolerance Assessments.
Proceedings of the 1997 Workshop on Defect and Fault-Tolerance in VLSI Systems (DFT '97), 1997

New static compaction techniques of test sequences for sequential circuits.
Proceedings of the European Design and Test Conference, 1997

Hybrid symbolic-explicit techniques for the graph coloring problem.
Proceedings of the European Design and Test Conference, 1997

A new approach to build a low-level malicious fault list starting from high-level description and alternative graphs.
Proceedings of the European Design and Test Conference, 1997

Simulation-based verification of network protocols performance.
Proceedings of the Advances in Hardware Design and Verification, 1997

Exploiting Logic Simulation to Improve Simulation-based Sequential ATPG.
Proceedings of the 6th Asian Test Symposium (ATS '97), 17-18 November 1997, 1997

A Genetic Algorithm for the Computation of Initialization Sequences for Synchronous Sequential Circuits.
Proceedings of the 6th Asian Test Symposium (ATS '97), 17-18 November 1997, 1997

Guaranteeing Testability in Re-encoding for Low Power.
Proceedings of the 6th Asian Test Symposium (ATS '97), 17-18 November 1997, 1997

1996
GALLO: a genetic algorithm for floorplan area optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

GATTO: a genetic algorithm for automatic test pattern generation for large synchronous sequential circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

Circular Self-Test Path for FSMs.
IEEE Des. Test Comput., 1996

Scan insertion criteria for low design impact.
Proceedings of the 14th IEEE VLSI Test Symposium (VTS'96), April 28, 1996

Exploiting Competing Subpopulations for Automatic Generation of Test Sequences for Digital Cicuits.
Proceedings of the Parallel Problem Solving from Nature, 1996

Partial Scan Flip Flop Selection for Simulation-Based Sequential ATPGs.
Proceedings of the Proceedings IEEE International Test Conference 1996, 1996

Comparing Topological, Symbolic and GA-based ATPGs: An Experimental Approach.
Proceedings of the Proceedings IEEE International Test Conference 1996, 1996

A Genetic Algorithm for Automatic Generation of Test Logic for Digital Circuits.
Proceedings of the Eigth International Conference on Tools with Artificial Intelligence, 1996

A Cellular Genetic Algorithm for the Floorplan Area Optimization Problem on a SIMD Architecture.
Proceedings of the High-Performance Computing and Networking, 1996

Using Parallel Genetic Algorithms for Solving the Min-Cut Problem.
Proceedings of the High-Performance Computing and Networking, 1996

A Parallel Genetic Algorithm for Automatic Generation of Test Sequences for Digital Circuits.
Proceedings of the High-Performance Computing and Networking, 1996

Fault tolerant and BIST design of a FIFO cell.
Proceedings of the conference on European design automation, 1996

Fault behavior observation of a microprocessor system through a VHDL simulation-based fault injection experiment.
Proceedings of the conference on European design automation, 1996

On-line Testing of an Off-the-shelf Microprocessor Board for Safety-critical Applications.
Proceedings of the Dependable Computing, 1996

Advanced Techniques for GA-based sequential ATPGs.
Proceedings of the 1996 European Design and Test Conference, 1996

Self-Checking and Fault Tolerant Approaches Can Help BIST Fault Coverage: A Case Study.
Proceedings of the 1996 European Design and Test Conference, 1996

1995
Industrial BIST of Embedded RAMs.
IEEE Des. Test Comput., 1995

A portable ATPG tool for parallel and distributed systems.
Proceedings of the 13th IEEE VLSI Test Symposium (VTS'95), April 30, 1995

Improving topological ATPG with symbolic techniques.
Proceedings of the 13th IEEE VLSI Test Symposium (VTS'95), April 30, 1995

An improved data parallel algorithm for Boolean function manipulation using BDDs.
Proceedings of the 3rd Euromicro Workshop on Parallel and Distributed Processing (PDP '95), 1995

Testing a Switching Memory in a Telcommunication System.
Proceedings of the Proceedings IEEE International Test Conference 1995, 1995

Exploiting massively parallel architectures for the solution of diffusion and propagation problems.
Proceedings of the High-Performance Computing and Networking, 1995

A PVM tool for automatic test generation on parallel and distributed systems.
Proceedings of the High-Performance Computing and Networking, 1995

GARDA: a diagnostic ATPG for large synchronous sequential circuits.
Proceedings of the 1995 European Design and Test Conference, 1995

Using symbolic techniques to find the maximum clique in very large sparse graphs.
Proceedings of the 1995 European Design and Test Conference, 1995

1994
An industrial experience in the built-in self test of embedded RAMs.
Proceedings of the 12th IEEE VLSI Test Symposium (VTS'94), 1994

A BDD Package For A Massively Parallel SIMD Architecture.
Proceedings of the Second Euromicro Workshop on Parallel and Distributed Processing, 1994

An Automatic Test Pattern Generator for Large Sequential Circuits Based on Genetic Algorithms.
Proceedings of the Proceedings IEEE International Test Conference 1994, 1994

Making the Circular Self-Test Path Technique Effective for Real Circuits.
Proceedings of the Proceedings IEEE International Test Conference 1994, 1994

GATTO: An Intelligent Tool for Automatic Test Pattern Generation for Digital Circuits.
Proceedings of the Sixth International Conference on Tools with Artificial Intelligence, 1994

A Genetic Algorithm for Floorplan Area Optimization.
Proceedings of the First IEEE Conference on Evolutionary Computation, 1994

Floorplan area optimization using genetic algorithms.
Proceedings of the Fourth Great Lakes Symposium on Design Automation of High Performance VLSI Systems, 1994

An experimental analysis of the effectiveness of the circular self-test path technique.
Proceedings of the Proceedings EURO-DAC'94, 1994

TORSIM: An Efficient Fault Simulator for Synchronous Sequential Circuits.
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994

1993
A Parallel System for Test Pattern Generation.
Parallel Comput., 1993

An approach to sequential circuit diagnosis based on formal verification techniques.
J. Electron. Test., 1993

An experimental analysis of the effects of migration in parallel genetic algorithms.
Proceedings of the 1993 Euromicro Workshop on Parallel and Distributed Processing, 1993

1992
A simulation-based approach to test pattern generation for synchronous sequential circuits.
Proceedings of the 10th IEEE VLSI Test Symposium (VTS'92), 1992

Sequential Circuit Diagnosis Based on Formal Verification Techniques.
Proceedings of the Proceedings IEEE International Test Conference 1992, 1992

Cross-fertilizing FSM verification techniques and sequential diagnosis.
Proceedings of the conference on European design automation, 1992

A New Model for Improving symbolic Product Machine Traversal.
Proceedings of the 29th Design Automation Conference, 1992

1991
TPDL: Extended Temporal Profile Description Language.
Softw. Pract. Exp., 1991

A parallel system for test pattern generation.
Proceedings of the Third IEEE Symposium on Parallel and Distributed Processing, 1991

Fast Differential Fault Simulation by Dynamic Fault Ordering.
Proceedings of the Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1991

An algebraic approach to test generation for sequential circuits.
Proceedings of the First Great Lakes Symposium on VLSI, 1991

Proving finite state machines correct with an automaton-based method.
Proceedings of the First Great Lakes Symposium on VLSI, 1991

1990
Assessing the diagnostic power of test pattern sets.
Microprocessing and Microprogramming, 1990

A transputer-based gate-level fault simulator.
Microprocessing and Microprogramming, 1990

Exact probabilistic testability measures for multi-output circuits.
J. Electron. Test., 1990

A diagnostic test pattern generation algorithm.
Proceedings of the Proceedings IEEE International Test Conference 1990, 1990

Diagnosis oriented test pattern generation.
Proceedings of the European Design Automation Conference, 1990

Model Checking and Graph Theory in Sequential ATPG.
Proceedings of the Computer-Aided Verification, 1990

The Use of Model Checking in ATPG for Sequential Circuits.
Proceedings of the Computer Aided Verification, 2nd International Workshop, 1990

1989
Expressing logical and temporal conditions in simulation environments: TPDL<sup>*</sup>.
Microprocessing and Microprogramming, 1989

1988
Random testability analysis: comparing and evaluating existing approaches.
Proceedings of the Computer Design: VLSI in Computers and Processors, 1988


  Loading...