Ali M. Niknejad

Orcid: 0000-0002-9246-9791

Affiliations:
  • University of California, Berkeley, CA, USA
  • Coventry University, UK (PhD)


According to our database1, Ali M. Niknejad authored at least 156 papers between 1998 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
17.3 A Fully Wireless, Miniaturized, Multicolor Fluorescence Image Sensor Implant for Real-Time Monitoring in Cancer Therapy.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2023
Precursor ISI Cancellation Sliding-Block DFE for High-Speed Wireline Receivers.
IEEE Trans. Circuits Syst. I Regul. Pap., October, 2023

GalEPR: A Galvanically Coupled Electron Paramagnetic Resonance Spectrometer for Deep Tissue Hypoxia Diagnosis.
IEEE J. Solid State Circuits, 2023

Comparative Performance of 100-200 GHz Wideband Transceivers: CMOS vs Compound Semiconductors.
Proceedings of the IEEE BiCMOS and Compound Semiconductor Integrated Circuits and Technology Symposium, 2023

2022
Design of an Inductor-Less 72-GHz 2: 1 CMOS CML Frequency Divider With Dual-Core VCO.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

Noise Measure Revisited for Design of Amplifiers Close to Activity Limits.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Design of Linear Passive Mixer-First Receivers for mmWave Digital Beamforming Arrays.
CoRR, 2022

A Galvanically Coupled Electron Paramagnetic Resonance Spectrometer for Deep Tissue Hypoxia Diagnosis.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

A 480-Multiplication-Factor 13.2-to-17.3GHz Sub-Sampling PLL Achieving 6.6mW Power and -248.1 dB FoM Using a Proportionally Divided Charge Pump.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

A Low-Power and Energy-Efficient D-Band CMOS Four-Channel Receiver with Integrated LO Generation for Digital Beamforming Arrays.
Proceedings of the 48th IEEE European Solid State Circuits Conference, 2022

2021
A 71-to-86-GHz 16-Element by 16-Beam Multi-User Beamforming Integrated Receiver Sub-Array for Massive MIMO.
IEEE J. Solid State Circuits, 2021

Design of High-Linearity Mixer-First Receivers for mm-Wave Digital MIMO Arrays.
IEEE J. Solid State Circuits, 2021

14.1 A 71-to-86GHz Packaged 16-Element by 16-Beam Multi-User Beamforming Integrated Receiver in 28nm CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

Fully Integrated Electronic-Photonic Sensor for Label-Free Refractive Index Sensing in Advanced Zero-Change CMOS-SOI Process.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2021

2020
A Batteryless Padless Crystalless 116 µm × 116 µm "Dielet" Near-Field Radio With On-Chip Coil Antenna.
IEEE J. Solid State Circuits, 2020

Design and Analysis of a Microwave-Optical Dual Modality Biomolecular Sensing Platform.
IEEE J. Solid State Circuits, 2020

Design and Analysis of Enhanced Mixer-First Receivers Achieving 40-dB/decade RF Selectivity.
IEEE J. Solid State Circuits, 2020

A Low-Power 70-100-GHz Mixer-First RX Leveraging Frequency-Translational Feedback.
IEEE J. Solid State Circuits, 2020

Compact Device Models for FinFET and Beyond.
CoRR, 2020

Design and Demonstration of a Scalable Massive MIMO Uplink at E-Band.
Proceedings of the 2020 IEEE International Conference on Communications Workshops, 2020

A Fully Integrated, Dual Channel, Flip Chip Packaged 113 GHz Transceiver in 28nm CMOS supporting an 80 Gb/s Wireless Link.
Proceedings of the 2020 IEEE Custom Integrated Circuits Conference, 2020

2019
A Low-Power Compact IEEE 802.15.6 Compatible Human Body Communication Transceiver With Digital Sigma-Delta IIR Mask Shaping.
IEEE J. Solid State Circuits, 2019

A 37.5-45 GHz Superharmonic-Coupled QVCO With Tunable Phase Accuracy in 28 nm CMOS.
IEEE J. Solid State Circuits, 2019

Time Keeping Ability of Crystal-Free Radios.
IEEE Internet Things J., 2019

A Crystal-Free Single-Chip Micro Mote with Integrated 802.15.4 Compatible Transceiver, sub-mW BLE Compatible Beacon Transmitter, and Cortex M0.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019

A 138Fsrms-Integrated-Jitter and -249dB-FoM Clock Multiplier with -51dBc Spur Using A Digital Spur Calibration Technique in 28-nm CMOS.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019

A 114GHz Biosensor with Integrated Dielectrophoresis for Single Cell Characterization.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019

A 57-74-GHz Tail-Switching Injection-Locked Frequency Tripler in 28-nm CMOS.
Proceedings of the 45th IEEE European Solid State Circuits Conference, 2019

A Microwave-Optical Biosensor with 5.4ppm Label/Reference-free Long-term Stability and Single Photon Sensitivity in 28nm Bulk CMOS.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2019

2018
A 65-nm CMOS <i>I/Q</i> RF Power DAC With 24- to 42-dB Third-Harmonic Cancellation and Up to 18-dB Mixed-Signal Filtering.
IEEE J. Solid State Circuits, 2018

An ontology supported risk assessment approach for the intelligent configuration of supply networks.
J. Intell. Manuf., 2018

A 12mW 70-to-100GHz mixer-first receiver front-end for mm-wave massive-MIMO arrays in 28nm CMOS.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

A 5.8GHz power-harvesting 116μmx116μm "dielet" near-field radio with on-chip coil antenna.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

580µW 2.2-2.4GHz Receiver with +3.3dBm Out-of-Band IIP3 for IoT Applications.
Proceedings of the 44th IEEE European Solid State Circuits Conference, 2018

Ultra low-power transceiver SoC designs for IoT, NB-IoT applications.
Proceedings of the 2018 IEEE Custom Integrated Circuits Conference, 2018

A 37.5-45. lGHz Superharmonic-Coupled QVCO with Tunable Phase Accuracy in 28nm Bulk CMOS.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2018

2017
Modeling the Impact of Phase Noise on the Performance of Crystal-Free Radios.
IEEE Trans. Circuits Syst. II Express Briefs, 2017

A 65-nm CMOS Wideband TDD Front-End With Integrated T/R Switching via PA Re-Use.
IEEE J. Solid State Circuits, 2017

A 94-GHz 4TX-4RX Phased-Array FMCW Radar Transceiver With Antenna-in-Package.
IEEE J. Solid State Circuits, 2017

CMOS Microflow Cytometer for Magnetic Label Detection and Classification.
IEEE J. Solid State Circuits, 2017

Analysis and Design of Integrated Active Cancellation Transceiver for Frequency Division Duplex Systems.
IEEE J. Solid State Circuits, 2017

Analysis of impact of uncertainty in global production networks' parameters.
Comput. Ind. Eng., 2017

Narrowband communication with free-running 2.4GHz ring oscillators.
Proceedings of the International Conference on Performance Evaluation and Modeling in Wired and Wireless Networks, 2017

On-chip spur and phase noise cancellation techniques.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2017

2016
An Inductive-Coupling Blocker Rejection Technique for Miniature RFID Tag.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

Inductive Power Transfer Uplink Using Rectifier Second-Order Nonlinearity.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

Bifurcation Analysis in Weakly-Coupled Inductive Power Transfer Systems.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

Design of Energy- and Cost-Efficient Massive MIMO Arrays.
Proc. IEEE, 2016

Design and Analysis of Chopper Stabilized Injection-Locked Oscillator Sensors Employing Near-Field Modulation.
IEEE J. Solid State Circuits, 2016

Oscillator-Based Reactance Sensors With Injection Locking for High-Throughput Flow Cytometry Using Microwave Dielectric Spectroscopy.
IEEE J. Solid State Circuits, 2016

A 65nm CMOS transceiver with integrated active cancellation supporting FDD from 1GHz to 1.8GHz at +12.6dBm TX power leakage.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

A New Framework for Strategic Risk Analysis in a Global Pump Manufacturing Network.
Proceedings of the Enterprise Interoperability VII: Enterprise Interoperability in the Digitized and Networked Factory of the Future, 2016

Seamless Interrelation Between Business Strategies and Tactical Planning.
Proceedings of the Enterprise Interoperability VII: Enterprise Interoperability in the Digitized and Networked Factory of the Future, 2016

Phase noise scaling and tracking in OFDM multi-user beamforming arrays.
Proceedings of the 2016 IEEE International Conference on Communications, 2016

A 65nm CMOS wideband TDD front-end with integrated T/R switching via PA re-use.
Proceedings of the ESSCIRC Conference 2016: 42<sup>nd</sup> European Solid-State Circuits Conference, 2016

2015
A 240 GHz Fully Integrated Wideband QPSK Receiver in 65 nm CMOS.
IEEE J. Solid State Circuits, 2015

A Power-Harvesting Pad-Less Millimeter-Sized Radio.
IEEE J. Solid State Circuits, 2015

A 240 GHz Fully Integrated Wideband QPSK Transmitter in 65 nm CMOS.
IEEE J. Solid State Circuits, 2015

A near-field modulation chopping stabilized injection-locked oscillator sensor for protein conformation detection at microwave frequency.
Proceedings of the Symposium on VLSI Circuits, 2015

A circuit designer's guide to 5G mm-wave.
Proceedings of the 2015 IEEE Custom Integrated Circuits Conference, 2015

2014
A Highly-Efficient Multi-Band Multi-Mode All-Digital Quadrature Transmitter.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

A 60 GHz Drain-Source Neutralized Wideband Linear Power Amplifier in 28 nm CMOS.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

A heuristic, dynamic programming-based approach for a two-dimensional cutting problem with defects.
OR Spectr., 2014

Optimisation of integrated reverse logistics networks with different product recovery routes.
Eur. J. Oper. Res., 2014

A power-harvesting pad-less mm-sized 24/60GHz passive radio with on-chip antennas.
Proceedings of the Symposium on VLSI Circuits, 2014

A 6.5/11/17.5/30-GHz high throughput interferometer-based reactance sensors using injection-locked oscillators and ping-pong nested chopping.
Proceedings of the Symposium on VLSI Circuits, 2014

24.6 A CMOS micro-flow cytometer for magnetic label detection and classification.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

2.8 A pulse-position-modulation phase-noise-reduction technique for a 2-to-16GHz injection-locked ring oscillator in 20nm CMOS.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

A frequency-reconfigurable multi-standard 65nm CMOS digital transmitter with LTCC interposers.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2014

2013
Efficient Switching Power Amplifiers Using the Distributed Switch Architecture.
IEEE Trans. Circuits Syst. I Regul. Pap., 2013

Design Considerations for a Direct Digitally Modulated WLAN Transmitter With Integrated Phase Path and Dynamic Impedance Modulation.
IEEE J. Solid State Circuits, 2013

A 94 GHz mm-Wave-to-Baseband Pulsed-Radar Transceiver with Applications in Imaging and Gesture Recognition.
IEEE J. Solid State Circuits, 2013

Digital transmitter design for mobile devices.
IEEE Commun. Mag., 2013

BSIM - SPICE Models Enable FinFET and UTB IC Designs.
IEEE Access, 2013

BSIM compact MOSFET models for SPICE simulation.
Proceedings of the 20th International Conference Mixed Design of Integrated Circuits and Systems, 2013

A digitally modulated 2.4GHz WLAN transmitter with integrated phase path and dynamic load modulation in 65nm CMOS.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

RF blocks for wireless transceivers.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

A 24.7dBm all-digital RF transmitter for multimode broadband applications in 40nm CMOS.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

A digitally modulated mm-Wave cartesian beamforming transmitter with quadrature spatial combining.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

A highly-efficient multi-band multi-mode digital quadrature transmitter with 2D pre-distortion.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Flicker noise in advanced CMOS technology: Effects of halo implant.
Proceedings of the European Solid-State Device Research Conference, 2013

An RF receiver with an integrated adaptive notch filter for multi-standard applications.
Proceedings of the ESSCIRC 2013, 2013

A 60 GHz linear wideband power amplifier using cascode neutralization in 28 nm CMOS.
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, 2013

A fully integrated highly linear receiver with automatic IP2 calibration schemes for multi-standard applications.
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, 2013

2012
Design of a Low Power, Inductorless Wideband Variable-Gain Amplifier for High-Speed Receiver Systems.
IEEE Trans. Circuits Syst. I Regul. Pap., 2012

A 0.38 THz Fully Integrated Transceiver Utilizing a Quadrature Push-Push Harmonic Circuitry in SiGe BiCMOS.
IEEE J. Solid State Circuits, 2012

A 7-Bit 18th Order 9.6 GS/s FIR Up-Sampling Filter for High Data Rate 60-GHz Wireless Transmitters.
IEEE J. Solid State Circuits, 2012

A Fully-Integrated Efficient CMOS Inverse Class-D Power Amplifier for Digital Polar Transmitters.
IEEE J. Solid State Circuits, 2012

A 260 GHz fully integrated CMOS transceiver for wireless chip-to-chip communication.
Proceedings of the Symposium on VLSI Circuits, 2012

A 94GHz mm-wave to baseband pulsed-radar for imaging and gesture recognition.
Proceedings of the Symposium on VLSI Circuits, 2012

BSIM - Industry standard compact MOSFET models.
Proceedings of the 38th European Solid-State Circuit conference, 2012

Evaluation of the BSIM6 compact MOSFET model's scalability in 40nm CMOS technology.
Proceedings of the 38th European Solid-State Circuit conference, 2012

A non-iterative physical procedure for RF CMOS compact model extraction using BSIM6.
Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, 2012

2011
On the Noise Optimization of CMOS Common-Source Low-Noise Amplifiers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2011

A 65 nm CMOS 4-Element Sub-34 mW/Element 60 GHz Phased-Array Transceiver.
IEEE J. Solid State Circuits, 2011

A 4-Port-Inductor-Based VCO Coupling Method for Phase Noise Reduction.
IEEE J. Solid State Circuits, 2011

An Efficient Mixed-Signal 2.4-GHz Polar Power Amplifier in 65-nm CMOS Technology.
IEEE J. Solid State Circuits, 2011

A 65nm CMOS 4-element Sub-34mW/element 60GHz phased-array transceiver.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

A compact 1V 18.6dBm 60GHz power amplifier in 65nm CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

A 7-bit 18<sup>th</sup> order 9.6 GS/s FIR filter for high data rate 60-GHz wireless communications.
Proceedings of the 37th European Solid-State Circuits Conference, 2011

Time-domain ultra-wideband synthetic imager (TUSI) in silicon.
Proceedings of the 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2011

60GHz low-loss compact phase shifters using a transformer-based hybrid in 65nm CMOS.
Proceedings of the 2011 IEEE Custom Integrated Circuits Conference, 2011

2010
The Speed-Power Trade-Off in the Design of CMOS True-Single-Phase-Clock Dividers.
IEEE J. Solid State Circuits, 2010

A 90 GHz Hybrid Switching Pulsed-Transmitter for Medical Imaging.
IEEE J. Solid State Circuits, 2010

Compact Modeling of Variation in FinFET SRAM Cells.
IEEE Des. Test Comput., 2010

Reconfigurable RF and data converters.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

A 90GHz-carrier 30GHz-bandwidth hybrid switching transmitter with integrated antenna.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

A FIR baseband filter for high data rate 60-GHz wireless communications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

A 2.4GHz mixed-signal polar power amplifier with low-power integrated filtering in 65nm CMOS.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2010

2009
A 90 nm CMOS Low-Power 60 GHz Transceiver With Integrated Baseband Circuitry.
IEEE J. Solid State Circuits, 2009

Design Considerations for 60 GHz Transformer-Coupled CMOS Power Amplifiers.
IEEE J. Solid State Circuits, 2009

A Fully Integrated Dual-Mode Highly Linear 2.4 GHz CMOS Power Amplifier for 4G WiMax Applications.
IEEE J. Solid State Circuits, 2009

Device, Circuit, and System Considerations for 60 GHz CMOS.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

A 90nm CMOS low-power 60GHz transceiver with integrated baseband circuitry.
Proceedings of the IEEE International Solid-State Circuits Conference, 2009

A single-chip highly linear 2.4GHz 30dBm power amplifier in 90nm CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2009

2008
A CMOS IR-UWB Transceiver Design for Contact-Less Chip Testing Applications.
IEEE Trans. Circuits Syst. II Express Briefs, 2008

Transformer-Coupled Power Amplifier Stability and Power Back-Off Analysis.
IEEE Trans. Circuits Syst. II Express Briefs, 2008

Fully Integrated CMOS Power Amplifier With Efficiency Enhancement at Power Back-Off.
IEEE J. Solid State Circuits, 2008

A 5.8 GHz 1 V Linear Power Amplifier Using a Novel On-Chip Transformer Power Combiner in Standard 90 nm CMOS.
IEEE J. Solid State Circuits, 2008

Analysis and Design of RF CMOS Attenuators.
IEEE J. Solid State Circuits, 2008

A Highly Linear Broadband CMOS LNA Employing Noise and Distortion Cancellation.
IEEE J. Solid State Circuits, 2008

A 60GHz 1V + 12.3dBm Transformer-Coupled Wideband PA in 90nm CMOS.
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

A Broadband Distributed Amplifier with Internal Feedback Providing 660GHz GBW in 90nm CMOS.
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

A Robust 24mW 60GHz Receiver in 90nm Standard CMOS.
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

2007
A 1.5-V 0.7-2.5-GHz CMOS Quadrature Demodulator for Multiband Direct-Conversion Receivers.
IEEE J. Solid State Circuits, 2007

Millimeter-Wave Devices and Circuit Blocks up to 104 GHz in 90 nm CMOS.
IEEE J. Solid State Circuits, 2007

mm-Wave Tranceivers and Building Blocks.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

Low-Power mm-Wave Components up to 104GHz in 90nm CMOS.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

A Highly Integrated 60GHz CMOS Front-End Receiver.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

Power combining techniques for RF and mm-wave CMOS power amplifiers.
Proceedings of the 33rd European Solid-State Circuits Conference, 2007

Design for millimeter-wave applications in silicon technologies.
Proceedings of the 33rd European Solid-State Circuits Conference, 2007

A 60 GHz Power Amplifier in 90nm CMOS Technology.
Proceedings of the IEEE 2007 Custom Integrated Circuits Conference, 2007

CMOS Low Noise Amplifier with Capacitive Feedback Matching.
Proceedings of the IEEE 2007 Custom Integrated Circuits Conference, 2007

2006
L. Embedding Mixed-Signal Design in Systems-on-Chip.
Proc. IEEE, 2006

Design of a Sub-mW 960-MHz UWB CMOS LNA.
IEEE J. Solid State Circuits, 2006

An Ultra-Low-Power Injection Locked Transmitter for Wireless Sensor Networks.
IEEE J. Solid State Circuits, 2006

Circuit modeling methodology for UWB omnidirectional small antennas.
IEEE J. Sel. Areas Commun., 2006

A general domain CMOS companding integrator.
Proceedings of the 19th Annual Symposium on Integrated Circuits and Systems Design, 2006

A 1.5V 0.7-2.5GHz CMOS Quadrature Demodulator for Multi-Band Direct-Conversion Receivers.
Proceedings of the IEEE 2006 Custom Integrated Circuits Conference, 2006

A 1.2V, 2.4GHz Fully Integrated Linear CMOS Power Amplifier with Efficiency Enhancement.
Proceedings of the IEEE 2006 Custom Integrated Circuits Conference, 2006

X/Ku Band CMOS LNA Design Techniques.
Proceedings of the IEEE 2006 Custom Integrated Circuits Conference, 2006

2005
Millimeter-wave CMOS design.
IEEE J. Solid State Circuits, 2005

A 1.8-GHz LC VCO with 1.3-GHz tuning range and digital amplitude calibration.
IEEE J. Solid State Circuits, 2005

Charge-Based Core and the Model Architecture of BSIM5.
Proceedings of the 6th International Symposium on Quality of Electronic Design (ISQED 2005), 2005

2004
An ultrawideband CMOS low-noise amplifier for 3.1-10.6-GHz wireless receivers.
IEEE J. Solid State Circuits, 2004

Design considerations for 60 GHz CMOS radios.
IEEE Commun. Mag., 2004

A Non-Charge-Sheet Based Analytical Model of Undoped Symmetric Double-Gate MOSFETs Using SPP Approach.
Proceedings of the 5th International Symposium on Quality of Electronic Design (ISQED 2004), 2004

Millimeter-wave CMOS device modeling and simulation.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

A class A/B low power amplifier for wireless sensor networks.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

The next generation BSIM for sub-100nm mixed-signal circuit simulation.
Proceedings of the IEEE 2004 Custom Integrated Circuits Conference, 2004

A DC-10GHz linear-in-dB attenuator in 0.13 μm CMOS technology.
Proceedings of the IEEE 2004 Custom Integrated Circuits Conference, 2004

2003
Practical compact modeling approaches and options for sub-0.1 mum CMOS technologies.
Microelectron. Reliab., 2003

A unified model for partial-depletion and full-depletion SOI circuit designs: using BSIMPD as a foundation.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2003

A wideband low-phase-noise CMOS VCO.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2003

1998
Numerically stable Green function for modeling and analysis of substrate coupling in integrated circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998

Analysis, design, and optimization of spiral inductors and transformers for Si RF ICs.
IEEE J. Solid State Circuits, 1998


  Loading...