Samuel Nascimento Pagliarini

Orcid: 0000-0002-5294-0606

According to our database1, Samuel Nascimento Pagliarini authored at least 77 papers between 2011 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
High-Speed Design of Post Quantum Cryptography With Optimized Hashing and Multiplication.
IEEE Trans. Circuits Syst. II Express Briefs, February, 2024

REPQC: Reverse Engineering and Backdooring Hardware Accelerators for Post-quantum Cryptography.
CoRR, 2024

SCARF: Securing Chips with a Robust Framework against Fabrication-time Hardware Trojans.
CoRR, 2024

CAC 2.0: A Corrupt and Correct Logic Locking Technique Resilient to Structural Analysis Attacks.
CoRR, 2024

Utilizing Layout Effects for Analog Logic Locking.
CoRR, 2024

2023
High-speed SABER key encapsulation mechanism in 65nm CMOS.
J. Cryptogr. Eng., November, 2023

A Security-Aware and LUT-Based CAD Flow for the Physical Synthesis of hASICs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., October, 2023

A Versatile and Flexible Multiplier Generator for Large Integer Polynomials.
J. Hardw. Syst. Secur., September, 2023

Hardware Trojan Insertion in Finalized Layouts: From Methodology to a Silicon Demonstration.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., July, 2023

Hybrid Protection of Digital FIR Filters.
IEEE Trans. Very Large Scale Integr. Syst., June, 2023

KaLi: A Crystal for Post-Quantum Security Using Kyber and Dilithium.
IEEE Trans. Circuits Syst. I Regul. Pap., February, 2023

Towards High-speed ASIC Implementations of Post-Quantum Cryptography.
IACR Cryptol. ePrint Arch., 2023

KRATT: QBF-Assisted Removal and Structural Analysis Attack Against Logic Locking.
CoRR, 2023

Multiplierless Design of High-Speed Very Large Constant Multiplications.
CoRR, 2023

Impact of Orientation on the Bias of SRAM-Based PUFs.
CoRR, 2023

SALSy: Security-Aware Layout Synthesis.
CoRR, 2023

An Overview of FPGA-inspired Obfuscation Techniques.
CoRR, 2023

Resynthesis-based Attacks Against Logic Locking.
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023

Benchmarking Advanced Security Closure of Physical Layouts: ISPD 2023 Contest.
Proceedings of the 2023 International Symposium on Physical Design, 2023

2022
Multiplierless Design of Very Large Constant Multiplications in Cryptography.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

KaLi: A Crystal for Post-Quantum Security.
IACR Cryptol. ePrint Arch., 2022

A Security-aware and LUT-based CAD Flow for the Physical Synthesis of eASICs.
CoRR, 2022

Preventing Distillation-based Attacks on Neural Network IP.
CoRR, 2022

Ransomware Attack as Hardware Trojan: A Feasibility and Demonstration Study.
IEEE Access, 2022

Obfuscating the Hierarchy of a Digital IP.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2022

Hardware Trojans for Confidence Reduction and Misclassifications on Neural Networks.
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022

Reusing Verification Assertions as Security Checkers for Hardware Trojan Detection.
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022

A Pragmatic Methodology for Blind Hardware Trojan Insertion in Finalized Layouts.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Hardware Obfuscation of Digital FIR Filters.
Proceedings of the 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2022

G-GPU: A Fully-Automated Generator of GPU-like ASIC Accelerators.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Leveraging Layout-based Effects for Locking Analog ICs.
Proceedings of the 2022 Workshop on Attacks and Solutions in Hardware Security, 2022

A Side-Channel Hardware Trojan in 65nm CMOS with $2\mu\mathrm{W}$ precision and Multi-bit Leakage Capability.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2021
Design Space Exploration of SABER in 65nm ASIC.
IACR Cryptol. ePrint Arch., 2021

Split-Chip Design to Prevent IP Reverse Engineering.
IEEE Des. Test, 2021

Hardware Trojan Insertion in Finalized Layouts: a Silicon Demonstration.
CoRR, 2021

A Tutorial on Design Obfuscation: from Transistors to Systems.
Proceedings of the 22nd IEEE Latin American Test Symposium, 2021

A Terabit Hybrid FPGA-ASIC Platform for Switch Virtualization.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2021

Side-Channel Trojan Insertion - a Practical Foundry-Side Attack via ECO.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

High-level Intellectual Property Obfuscation via Decoy Constants.
Proceedings of the 27th IEEE International Symposium on On-Line Testing and Robust System Design, 2021

An Open-source Library of Large Integer Polynomial Multipliers.
Proceedings of the 24th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2021

Side-Channel Attacks on Triple Modular Redundancy Schemes.
Proceedings of the 30th IEEE Asian Test Symposium, 2021

From FPGAs to Obfuscated eASICs: Design and Security Trade-offs.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2021

2020
Logic IP for Low-Cost IC Design in Advanced CMOS Nodes.
IEEE Trans. Very Large Scale Integr. Syst., 2020

A Probabilistic Synapse With Strained MTJs for Spiking Neural Networks.
IEEE Trans. Neural Networks Learn. Syst., 2020

From Virtual Characterization to Test-Chips: DFM Analysis Through Pattern Enumeration.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

An Area Aware Accelerator for Elliptic Curve Point Multiplication.
IACR Cryptol. ePrint Arch., 2020

A Systematic Study of Lattice-based NIST PQC Algorithms: from Reference Implementations to Hardware Accelerators.
CoRR, 2020

Securing Digital Systems via Split-Chip Obfuscation.
CoRR, 2020

A Survey on Split Manufacturing: Attacks, Defenses, and Challenges.
IEEE Access, 2020

Latch-Based Logic Locking.
Proceedings of the 2020 IEEE International Symposium on Hardware Oriented Security and Trust, 2020

Design Obfuscation versus Test.
Proceedings of the IEEE European Test Symposium, 2020

Latest Trends in Hardware Security and Privacy.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2020

2019
Chip-to-Chip Authentication Method Based on SRAM PUF and Public Key Cryptography.
J. Hardw. Syst. Secur., 2019

2018
Application and Product-Volume-Specific Customization of BEOL Metal Pitch.
IEEE Trans. Very Large Scale Integr. Syst., 2018

An Oscillatory Neural Network with Programmable Resistive Synapses in 28 Nm CMOS.
Proceedings of the 2018 IEEE International Conference on Rebooting Computing, 2018

2017
Improved Multiple Faults-Aware Placement Strategy: Reducing the Overheads and Error Rates in Digital Circuits.
IEEE Trans. Reliab., 2017

A Flexible Online Checking Technique to Enhance Hardware Trojan Horse Detectability by Reliability Analysis.
IEEE Trans. Emerg. Top. Comput., 2017

A self-calibrating sense amplifier for a true random number generator using hybrid FinFET-straintronic MTJ.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2017

Virtual characterization for exhaustive DFM evaluation of logic cell libraries.
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017

Evaluating the benefits of relaxed BEOL pitch for deeply scaled ICs.
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017

2014
Design and Analysis of Binary Tree Static Random Access Memory for Low Power Embedded Systems.
J. Low Power Electron., 2014

A placement strategy for reducing the effects of multiple faults in digital circuits.
Proceedings of the 2014 IEEE 20th International On-Line Testing Symposium, 2014

A hybrid reliability assessment method and its support of sequential logic modelling.
Proceedings of the 2014 IEEE 20th International On-Line Testing Symposium, 2014


2013
SNaP: A novel hybrid method for circuit reliability assessment under multiple faults.
Microelectron. Reliab., 2013

A defect-tolerant area-efficient multiplexer for basic blocks in SRAM-based FPGAs.
Microelectron. Reliab., 2013

Selective hardening against multiple faults employing a net-based reliability analysis.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013

Reliability assessment of combinational logic using first-order-only fanout reconvergence analysis.
Proceedings of the IEEE 56th International Midwest Symposium on Circuits and Systems, 2013

Single event transient mitigation through pulse quenching: Effectiveness at circuit level.
Proceedings of the 20th IEEE International Conference on Electronics, 2013

2012
Exploring the feasibility of selective hardening for combinational logic.
Microelectron. Reliab., 2012

Selective hardening methodology for combinational logic.
Proceedings of the 13th Latin American Test Workshop, 2012

Automatic selective hardening against soft errors: A cost-based and regularity-aware approach.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

2011
VEasy: a tool suite towards the functional verification challenges.
PhD thesis, 2011

Exploring the Limitations of Software-based Techniques in SEE Fault Coverage.
J. Electron. Test., 2011

Using dynamic partial reconfiguration to detect sees in microprocessors through non-intrusive hybrid technique.
Proceedings of the 24th Symposium on Integrated Circuits and Systems Design, 2011

VEasy: A tool suite for teaching VLSI functional verification.
Proceedings of the 2011 IEEE International Conference on Microelectronic Systems Education, 2011

Evaluating coverage collection using the VEasy functional verification tool suite.
Proceedings of the 12th Latin American Test Workshop, 2011


  Loading...