Roger Espasa

According to our database1, Roger Espasa authored at least 40 papers between 1995 and 2021.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2021

2010
A SIMD-efficient 14 instruction shader program for high-throughput microtriangle rasterization.
Vis. Comput., 2010

Larrabee: A Many-Core Intel Architecture for Visual Computing.
Proceedings of the High Performance Embedded Architectures and Compilers, 2010

2009
Larrabee: A Many-Core x86 Architecture for Visual Computing.
IEEE Micro, 2009

2006
ATTILA: a cycle-level execution-driven simulator for modern GPU architectures.
Proceedings of the 2006 IEEE International Symposium on Performance Analysis of Systems and Software, 2006

Workload Characterization of 3D Games.
Proceedings of the 2006 IEEE International Symposium on Workload Characterization, 2006

2005
Conflict-Free Accesses to Strided Vectors on a Banked Cache.
IEEE Trans. Computers, 2005

Shader Performance Analysis on a Modern GPU Architecture.
Proceedings of the 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-38 2005), 2005

A Single (Unified) Shader GPU Microarchitecture for Embedded Systems.
Proceedings of the High Performance Embedded Architectures and Compilers, 2005

2004
Link-Time Path-Sensitive Memory Redundancy Elimination.
Proceedings of the 10th International Conference on High-Performance Computer Architecture (HPCA-10 2004), 2004

Link-Time Optimization Techniques for Eliminating Conditional Branch Redundancies.
Proceedings of the 8th Annual Workshop on Interaction between Compilers and Computer Architecture (INTERACT-8 2004), 2004

2003
A Cost-Effective Architecture for Vectorizable Numerical and Multimedia Applications.
Theory Comput. Syst., 2003

Load redundancy elimination on executable code.
Concurr. Comput. Pract. Exp., 2003

2002
Asim: A Performance Model Framework.
Computer, 2002

Three-dimensional memory vectorization for high bandwidth media memory systems.
Proceedings of the 35th Annual International Symposium on Microarchitecture, 2002

Tarantula: A Vector Extension to the Alpha Architecture.
Proceedings of the 29th International Symposium on Computer Architecture (ISCA 2002), 2002

Speculative Alias Analysis for Executable Code.
Proceedings of the 2002 International Conference on Parallel Architectures and Compilation Techniques (PACT 2002), 2002

2001
DLP + TLP Processors for the Next Generation of Media Workloads.
Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA'01), 2001

Topic 08+13: Instruction-Level Parallelism and Computer Architecture.
Proceedings of the Euro-Par 2001: Parallel Processing, 2001

On the Efficiency of Reductions in µ-SIMD Media Extensions.
Proceedings of the 2001 International Conference on Parallel Architectures and Compilation Techniques (PACT 2001), 2001

1999
A Simulation Study of Decoupled Vector Architectures.
J. Supercomput., 1999

MOM: a Matrix SIMD Instruction Set Architecture for Multimedia Applications.
Proceedings of the ACM/IEEE Conference on Supercomputing, 1999

Exploiting a New Level of DLP in Multimedia Applications.
Proceedings of the 32nd Annual IEEE/ACM International Symposium on Microarchitecture, 1999

Adding a vector unit to a superscalar processor.
Proceedings of the 13th international conference on Supercomputing, 1999

1998
Registers Size Influence on Vector Architectures.
Proceedings of the Vector and Parallel Processing, 1998

An ISA Comparison Between Superscalar and Vector Processors.
Proceedings of the Vector and Parallel Processing, 1998

Effective usage of vector registers in decoupled vector architectures.
Proceedings of the Sixth Euromicro Workshop on Parallel and Distributed Processing, 1998

A case for merging the ILP and DLP paradigms.
Proceedings of the Sixth Euromicro Workshop on Parallel and Distributed Processing, 1998

Dataflow Analysis of Branch Mispredictions and Its Application to Early Resolution of Branch Outcomes.
Proceedings of the 31st Annual IEEE/ACM International Symposium on Microarchitecture, 1998

A Performance Study of Out-of-order Vector Architectures and Short Registers.
Proceedings of the 12th international conference on Supercomputing, 1998

Vector Architectures: Past, Present and Future.
Proceedings of the 12th international conference on Supercomputing, 1998

Command Vector Memory Systems: High Performance at Low Cost.
Proceedings of the 1998 International Conference on Parallel Architectures and Compilation Techniques, 1998

1997
Exploiting instruction- and data-level parallelism.
IEEE Micro, 1997

Out-of-Order Vector Architectures.
Proceedings of the Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, 1997

A Victim Cache for Vector Registers.
Proceedings of the 11th international conference on Supercomputing, 1997

Multithreaded Vector Architectures.
Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture (HPCA '97), 1997

Simultaneous multithreaded vector architecture: merging ILP and DLP for high performance.
Proceedings of the Fourth International on High-Performance Computing, 1997

Effective Usage of Vector Registers in Advanced Vector Architectures.
Proceedings of the 1997 Conference on Parallel Architectures and Compilation Techniques (PACT '97), 1997

1996
Decoupled Vector Architectures.
Proceedings of the Second International Symposium on High-Performance Computer Architecture, 1996

1995
Quantitative analysis of vector code.
Proceedings of the 3rd Euromicro Workshop on Parallel and Distributed Processing (PDP '95), 1995


  Loading...